bug-hurd
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [PATCH 07/15] Hurd signals: fix uninitialized value.


From: Samuel Thibault
Subject: Re: [PATCH 07/15] Hurd signals: fix uninitialized value.
Date: Sat, 2 Jul 2011 23:56:53 +0200
User-agent: Mutt/1.5.21+34 (58baf7c9f32f) (2010-12-30)

Jeremie Koenig, le Wed 29 Jun 2011 18:30:19 +0200, a écrit :
> * hurd/hurdsig.c (post_signal): Don't call resume() with ACT uninitialized,
> as it might result in the target thread being left suspended.

Agreed, and is independant from the rest, and can be applied already.

Samuel



reply via email to

[Prev in Thread] Current Thread [Next in Thread]