commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r4213 - gnuradio/branches/developers/trondeau/digital-


From: trondeau
Subject: [Commit-gnuradio] r4213 - gnuradio/branches/developers/trondeau/digital-wip2/gnuradio-core/src/python/gnuradio/blksimpl2
Date: Mon, 1 Jan 2007 15:49:55 -0700 (MST)

Author: trondeau
Date: 2007-01-01 15:49:55 -0700 (Mon, 01 Jan 2007)
New Revision: 4213

Modified:
   
gnuradio/branches/developers/trondeau/digital-wip2/gnuradio-core/src/python/gnuradio/blksimpl2/d8psk.py
   
gnuradio/branches/developers/trondeau/digital-wip2/gnuradio-core/src/python/gnuradio/blksimpl2/dbpsk.py
   
gnuradio/branches/developers/trondeau/digital-wip2/gnuradio-core/src/python/gnuradio/blksimpl2/dqpsk.py
Log:
fixing more typos and bugs

Modified: 
gnuradio/branches/developers/trondeau/digital-wip2/gnuradio-core/src/python/gnuradio/blksimpl2/d8psk.py
===================================================================
--- 
gnuradio/branches/developers/trondeau/digital-wip2/gnuradio-core/src/python/gnuradio/blksimpl2/d8psk.py
     2007-01-01 21:22:16 UTC (rev 4212)
+++ 
gnuradio/branches/developers/trondeau/digital-wip2/gnuradio-core/src/python/gnuradio/blksimpl2/d8psk.py
     2007-01-01 22:49:55 UTC (rev 4213)
@@ -266,7 +266,7 @@
 
         # symbol clock recovery
         self._mm_omega = self._samples_per_symbol
-        self._mm_gain_omega = .25 * self._gain_mu * self._gain_mu
+        self._mm_gain_omega = .25 * self._mm_gain_mu * self._mm_gain_mu
         self._costas_beta  = 0.25 * self._costas_alpha * self._costas_alpha
         fmin = -0.05
         fmax = 0.05
@@ -336,13 +336,13 @@
         print "bits per symbol:     %d"   % self.bits_per_symbol()
         print "Gray code:           %s"   % self._gray_code
         print "RRC roll-off factor: %.2f" % self._excess_bw
-        print "Costas Loop alpha:   %.5f" % self._costas_alpha
-        print "Costas Loop beta:    %.5f" % self._costas_beta
-        print "M&M mu:              %.5f" % self._mm_mu
-        print "M&M mu gain:         %.5f" % self._mm_gain_mu
-        print "M&M omega:           %.5f" % self._mm_omega
-        print "M&M omega gain:      %.5f" % self._mm_omega_gain
-        print "M&M omega limit:     %.5f" % self._omega_relative_limit
+        print "Costas Loop alpha:   %.2e" % self._costas_alpha
+        print "Costas Loop beta:    %.2e" % self._costas_beta
+        print "M&M mu:              %.2f" % self._mm_mu
+        print "M&M mu gain:         %.2e" % self._mm_gain_mu
+        print "M&M omega:           %.2f" % self._mm_omega
+        print "M&M omega gain:      %.2e" % self._mm_gain_omega
+        print "M&M omega limit:     %.2f" % self._mm_omega_relative_limit
         
 
     def _setup_logging(self):

Modified: 
gnuradio/branches/developers/trondeau/digital-wip2/gnuradio-core/src/python/gnuradio/blksimpl2/dbpsk.py
===================================================================
--- 
gnuradio/branches/developers/trondeau/digital-wip2/gnuradio-core/src/python/gnuradio/blksimpl2/dbpsk.py
     2007-01-01 21:22:16 UTC (rev 4212)
+++ 
gnuradio/branches/developers/trondeau/digital-wip2/gnuradio-core/src/python/gnuradio/blksimpl2/dbpsk.py
     2007-01-01 22:49:55 UTC (rev 4213)
@@ -262,16 +262,8 @@
         self.rrc_filter=gr.interp_fir_filter_ccf(1, self.rrc_taps)        
 
         # symbol clock recovery
-        # Parameters for the symbol synchronization (using M&M loop)
-        self._mu = 0.50
-        self._gain_mu = 0.1
-        omega = self._samples_per_symbol
-        gain_omega = .25 * self._gain_mu * self._gain_mu
-        self._omega_relative_limit = 0.005
-
-        # Parameters for the carrier frequency and phase synchronization
         self._mm_omega = self._samples_per_symbol
-        self._mm_gain_omega = .25 * self._gain_mu * self._gain_mu
+        self._mm_gain_omega = .25 * self._mm_gain_mu * self._mm_gain_mu
         self._costas_beta  = 0.25 * self._costas_alpha * self._costas_alpha
         fmin = -0.05
         fmax = 0.05
@@ -282,6 +274,7 @@
                                          self._mm_mu, self._mm_gain_mu,
                                          self._mm_omega, self._mm_gain_omega,
                                          self._mm_omega_relative_limit)
+
         # find closest constellation point
         rot = 1
         rotated_const = map(lambda pt: pt * rot, psk.constellation[arity])
@@ -340,13 +333,13 @@
         print "bits per symbol:     %d"   % self.bits_per_symbol()
         print "Gray code:           %s"   % self._gray_code
         print "RRC roll-off factor: %.2f" % self._excess_bw
-        print "Costas Loop alpha:   %.5f" % self._costas_alpha
-        print "Costas Loop beta:    %.5f" % self._costas_beta
-        print "M&M mu:              %.5f" % self._mm_mu
-        print "M&M mu gain:         %.5f" % self._mm_gain_mu
-        print "M&M omega:           %.5f" % self._mm_omega
-        print "M&M omega gain:      %.5f" % self._mm_omega_gain
-        print "M&M omega limit:     %.5f" % self._omega_relative_limit        
+        print "Costas Loop alpha:   %.2e" % self._costas_alpha
+        print "Costas Loop beta:    %.2e" % self._costas_beta
+        print "M&M mu:              %.2f" % self._mm_mu
+        print "M&M mu gain:         %.2e" % self._mm_gain_mu
+        print "M&M omega:           %.2f" % self._mm_omega
+        print "M&M omega gain:      %.2e" % self._mm_gain_omega
+        print "M&M omega limit:     %.2f" % self._mm_omega_relative_limit
 
     def _setup_logging(self):
         print "Demodulation logging turned on."

Modified: 
gnuradio/branches/developers/trondeau/digital-wip2/gnuradio-core/src/python/gnuradio/blksimpl2/dqpsk.py
===================================================================
--- 
gnuradio/branches/developers/trondeau/digital-wip2/gnuradio-core/src/python/gnuradio/blksimpl2/dqpsk.py
     2007-01-01 21:22:16 UTC (rev 4212)
+++ 
gnuradio/branches/developers/trondeau/digital-wip2/gnuradio-core/src/python/gnuradio/blksimpl2/dqpsk.py
     2007-01-01 22:49:55 UTC (rev 4213)
@@ -261,12 +261,12 @@
 
         # symbol clock recovery
         self._mm_omega = self._samples_per_symbol
-        self._mm_gain_omega = .25 * self._gain_mu * self._gain_mu
+        self._mm_gain_omega = .25 * self._mm_gain_mu * self._mm_gain_mu
         self._costas_beta  = 0.25 * self._costas_alpha * self._costas_alpha
         fmin = -0.05
         fmax = 0.05
         
-        self.receier=gr.mpsk_receiver_cc(arity, pi/4.0,
+        self.receiver=gr.mpsk_receiver_cc(arity, pi/4.0,
                                          self._costas_alpha, self._costas_beta,
                                          fmin, fmax,
                                          self._mm_mu, self._mm_gain_mu,
@@ -294,7 +294,7 @@
         self.define_component("pre_scaler", self.pre_scaler)
         self.define_component("agc", self.agc)
         self.define_component("rrc_filter", self.rrc_filter)
-        self.define_component("clock_recovery", self.clock_recovery)
+        self.define_component("receiver", self.receiver)
         self.define_component("slicer", self.slicer)
         self.define_component("diffdec", self.diffdec)
         self.define_component("symbol_mapper", self.symbol_mapper)
@@ -304,8 +304,8 @@
         self.connect("self", 0, "pre_scaler", 0)
         self.connect("pre_scaler", 0, "agc", 0)
         self.connect("agc", 0, "rrc_filter", 0)
-        self.connect("rrc_filter", 0, "clock_recovery", 0)
-        self.connect("clock_recovery", 0, "slicer", 0)
+        self.connect("rrc_filter", 0, "receiver", 0)
+        self.connect("receiver", 0, "slicer", 0)
         self.connect("slicer", 0, "diffdec", 0)
         self.connect("diffdec", 0, "symbol_mapper", 0)
         self.connect("symbol_mapper", 0, "unpack", 0)
@@ -329,13 +329,13 @@
         print "bits per symbol:     %d"   % self.bits_per_symbol()
         print "Gray code:           %s"   % self._gray_code
         print "RRC roll-off factor: %.2f" % self._excess_bw
-        print "Costas Loop alpha:   %.5f" % self._costas_alpha
-        print "Costas Loop beta:    %.5f" % self._costas_beta
-        print "M&M mu:              %.5f" % self._mm_mu
-        print "M&M mu gain:         %.5f" % self._mm_gain_mu
-        print "M&M omega:           %.5f" % self._mm_omega
-        print "M&M omega gain:      %.5f" % self._mm_omega_gain
-        print "M&M omega limit:     %.5f" % self._omega_relative_limit        
+        print "Costas Loop alpha:   %.2e" % self._costas_alpha
+        print "Costas Loop beta:    %.2e" % self._costas_beta
+        print "M&M mu:              %.2f" % self._mm_mu
+        print "M&M mu gain:         %.2e" % self._mm_gain_mu
+        print "M&M omega:           %.2f" % self._mm_omega
+        print "M&M omega gain:      %.2e" % self._mm_gain_omega
+        print "M&M omega limit:     %.2f" % self._mm_omega_relative_limit
 
     def _setup_logging(self):
         print "Demodulation logging turned on."
@@ -345,8 +345,8 @@
                               gr.file_sink(gr.sizeof_gr_complex, "rx_agc.dat"))
         self.define_component("rrc_filter_dat",
                               gr.file_sink(gr.sizeof_gr_complex, 
"rx_rrc_filter.dat"))
-        self.define_component("receier_dat",
-                              gr.file_sink(gr.sizeof_gr_complex, 
"rx_receier.dat"))
+        self.define_component("receiver_dat",
+                              gr.file_sink(gr.sizeof_gr_complex, 
"rx_receiver.dat"))
         self.define_component("slicer_dat",
                               gr.file_sink(gr.sizeof_char, "rx_slicer.dat"))
         self.define_component("diffdec_dat",
@@ -359,7 +359,7 @@
         self.connect("pre_scaler", 0, "prescaler_dat", 0)
         self.connect("agc", 0, "agc_dat", 0)
         self.connect("rrc_filter", 0, "rrc_filter_dat", 0)
-        self.connect("receier", 0, "receier_dat", 0)
+        self.connect("receiver", 0, "receiver_dat", 0)
         self.connect("slicer", 0, "slicer_dat", 0)
         self.connect("diffdec", 0, "diffdec_dat", 0)
         self.connect("symbol_mapper", 0, "symbol_mapper_dat", 0)





reply via email to

[Prev in Thread] Current Thread [Next in Thread]