commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r4884 - in gnuradio/branches/developers/jcorgan/sar-fe


From: jcorgan
Subject: [Commit-gnuradio] r4884 - in gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src: fpga/lib fpga/rbf/rev2 fpga/rbf/rev4 fpga/toplevel python
Date: Thu, 5 Apr 2007 13:32:52 -0600 (MDT)

Author: jcorgan
Date: 2007-04-05 13:32:51 -0600 (Thu, 05 Apr 2007)
New Revision: 4884

Added:
   gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/python/debug_sar.py
Modified:
   gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/lib/sar_tx.v
   
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/rbf/rev2/usrp_sar.rbf
   
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/rbf/rev4/usrp_sar.rbf
   
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/toplevel/usrp_sar.v
   gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/python/Makefile.am
Log:
Work in progress.

Modified: 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/lib/sar_tx.v
===================================================================
--- gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/lib/sar_tx.v 
2007-04-05 14:09:59 UTC (rev 4883)
+++ gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/lib/sar_tx.v 
2007-04-05 19:32:51 UTC (rev 4884)
@@ -22,7 +22,7 @@
 `include "../../../../usrp/firmware/include/fpga_regs_common.v"
 `include "../../../../usrp/firmware/include/fpga_regs_standard.v"
 
-module 
sar_tx(clk_i,rst_i,ena_i,strobe_i,saddr_i,sdata_i,s_strobe_i,tx_i_o,tx_q_o);
+module 
sar_tx(clk_i,rst_i,ena_i,strobe_i,saddr_i,sdata_i,s_strobe_i,tx_i_o,tx_q_o,debug_o);
    input clk_i;
    input rst_i;
    input ena_i;
@@ -34,8 +34,10 @@
 
    output [15:0] tx_i_o;
    output [15:0] tx_q_o;
-
+   output [15:0] debug_o;
+   
    assign tx_i_o = ena_i ? 16'b0111111111111100 : 16'b0;
    assign tx_q_o = ena_i ? 16'b0111111111111100 : 16'b0;
-
+   assign debug_0 = 16'hAA55;
+         
 endmodule // sar_tx

Modified: 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/rbf/rev2/usrp_sar.rbf
===================================================================
(Binary files differ)

Modified: 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/rbf/rev4/usrp_sar.rbf
===================================================================
(Binary files differ)

Modified: 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/toplevel/usrp_sar.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/toplevel/usrp_sar.v
  2007-04-05 14:09:59 UTC (rev 4883)
+++ 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/fpga/toplevel/usrp_sar.v
  2007-04-05 19:32:51 UTC (rev 4884)
@@ -104,7 +104,8 @@
    // Transmit Side
 
    wire [15:0] tx_i, tx_q;
-
+   wire [15:0] tx_debug;
+   
    assign tx_a = tx_sample_strobe ? tx_i[15:2] : tx_q[15:2];
    assign tx_b = 14'b0;
    assign TXSYNC_A = tx_sample_strobe;
@@ -112,7 +113,7 @@
 
    sar_tx 
transmitter(.clk_i(clk64),.rst_i(tx_dsp_reset),.ena_i(enable_tx),.strobe_i(tx_sample_strobe),
                      
.saddr_i(serial_addr),.sdata_i(serial_data),.s_strobe_i(serial_strobe),
-                     .tx_i_o(tx_i),.tx_q_o(tx_q));
+                     .tx_i_o(tx_i),.tx_q_o(tx_q),.debug_o(tx_debug));
 
    
/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
    // Receive Side
@@ -131,11 +132,14 @@
 
 
    // Put sar_rx block here, reading rx_in_i, rx_in_q
-   // and drives rx_i, rx_q
+   // and drives rx_i, rx_q, rx_debug
    wire [15:0] rx_i, rx_q;
-   assign rx_i = 16'b0;
-   assign rx_q = 16'b0;
-
+   wire [15:0] rx_debug;
+   
+   assign rx_i = 16'hDEAD;
+   assign rx_q = 16'hBEEF;
+   assign rx_debug = 16'hABCD;
+   
    rx_buffer rx_buffer
      ( .usbclk(usbclk),.bus_reset(rx_bus_reset),.reset(rx_dsp_reset),
        .reset_regs(rx_dsp_reset),
@@ -156,7 +160,7 @@
 
    wire [31:0] capabilities;
    assign      capabilities[7]   = 1'b0;       // `TX_CAP_HB;
-   assign      capabilities[6:4] = 3'b0;       // `TX_CAP_NCHAN;
+   assign      capabilities[6:4] = 3'd2;       // `TX_CAP_NCHAN;
    assign      capabilities[3]   = 1'b0;       // `RX_CAP_HB;
    assign      capabilities[2:0] = 3'd2;       // `RX_CAP_NCHAN;
 
@@ -179,11 +183,8 @@
        .tx_sample_strobe(tx_sample_strobe),.strobe_interp(strobe_interp),
        .rx_sample_strobe(rx_sample_strobe),.strobe_decim(strobe_decim),
        .tx_empty(tx_empty),
-       .debug_0(),
-       .debug_1(),
-       .debug_2({rx_sample_strobe,strobe_decim,serial_strobe,serial_addr}),
-       
.debug_3({rx_dsp_reset,tx_dsp_reset,rx_bus_reset,tx_bus_reset,enable_rx,tx_underrun,rx_overrun,decim_rate}),
-       .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3) );
+       .debug_0(),.debug_1(),.debug_2(tx_debug),.debug_3(rx_debug),
+       .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3));
    
    io_pins io_pins
      (.io_0(io_tx_a),.io_1(io_rx_a),.io_2(io_tx_b),.io_3(io_rx_b),

Modified: 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/python/Makefile.am
===================================================================
--- 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/python/Makefile.am    
    2007-04-05 14:09:59 UTC (rev 4883)
+++ 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/python/Makefile.am    
    2007-04-05 19:32:51 UTC (rev 4884)
@@ -20,3 +20,6 @@
 # 
 
 include $(top_srcdir)/Makefile.common
+
+EXTRA_DIST = \
+       debug_sar.py

Added: 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/python/debug_sar.py
===================================================================
--- 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/python/debug_sar.py   
                            (rev 0)
+++ 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/python/debug_sar.py   
    2007-04-05 19:32:51 UTC (rev 4884)
@@ -0,0 +1,20 @@
+#!/usr/bin/env python
+
+from gnuradio import gr, usrp
+
+def main():
+
+    # This just creates a dummy flowgraph so the scheduler turns
+    # on the transmitter enable registe.
+    src = gr.vector_source_c([0.0+0.0j],False)
+    dst = usrp.sink_c(fpga_filename = 'usrp_sar.rbf')
+    fg = gr.flow_graph()
+    fg.connect(src,dst)
+
+    try:
+        fg.run()
+    except KeyboardInterrupt:
+        pass
+
+if __name__ == "__main__":
+    main()


Property changes on: 
gnuradio/branches/developers/jcorgan/sar-fe/gr-sar-fe/src/python/debug_sar.py
___________________________________________________________________
Name: svn:executable
   + *





reply via email to

[Prev in Thread] Current Thread [Next in Thread]