commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5228 - in gnuradio/trunk/usrp/fpga: rbf/rev2 rbf/rev4


From: jcorgan
Subject: [Commit-gnuradio] r5228 - in gnuradio/trunk/usrp/fpga: rbf/rev2 rbf/rev4 sdr_lib
Date: Wed, 2 May 2007 09:50:56 -0600 (MDT)

Author: jcorgan
Date: 2007-05-02 09:50:56 -0600 (Wed, 02 May 2007)
New Revision: 5228

Modified:
   gnuradio/trunk/usrp/fpga/rbf/rev2/std_2rxhb_2tx.rbf
   gnuradio/trunk/usrp/fpga/rbf/rev4/std_2rxhb_2tx.rbf
   gnuradio/trunk/usrp/fpga/sdr_lib/atr_delay.v
   gnuradio/trunk/usrp/fpga/sdr_lib/master_control.v
Log:
Merged r5203:5204 from developer branch jcorgan/atr. Fixed ATR delay enable and 
reduced delay width to 12 bits.

Modified: gnuradio/trunk/usrp/fpga/rbf/rev2/std_2rxhb_2tx.rbf
===================================================================
(Binary files differ)

Modified: gnuradio/trunk/usrp/fpga/rbf/rev4/std_2rxhb_2tx.rbf
===================================================================
(Binary files differ)

Modified: gnuradio/trunk/usrp/fpga/sdr_lib/atr_delay.v
===================================================================
--- gnuradio/trunk/usrp/fpga/sdr_lib/atr_delay.v        2007-05-02 13:12:16 UTC 
(rev 5227)
+++ gnuradio/trunk/usrp/fpga/sdr_lib/atr_delay.v        2007-05-02 15:50:56 UTC 
(rev 5228)
@@ -24,12 +24,12 @@
    input        rst_i;
    input        ena_i;
    input        tx_empty_i;
-   input [31:0] tx_delay_i;
-   input [31:0] rx_delay_i;
+   input [11:0] tx_delay_i;
+   input [11:0] rx_delay_i;
    output       atr_tx_o;
 
    reg [3:0]   state;
-   reg [31:0]  count;
+   reg [11:0]  count;
 
    `define ST_RX_DELAY 4'b0001
    `define ST_RX       4'b0010
@@ -40,7 +40,7 @@
      if (rst_i | ~ena_i)
        begin
          state <= `ST_RX;
-         count <= 0;
+         count <= 12'b0;
        end
      else
        case (state)

Modified: gnuradio/trunk/usrp/fpga/sdr_lib/master_control.v
===================================================================
--- gnuradio/trunk/usrp/fpga/sdr_lib/master_control.v   2007-05-02 13:12:16 UTC 
(rev 5227)
+++ gnuradio/trunk/usrp/fpga/sdr_lib/master_control.v   2007-05-02 15:50:56 UTC 
(rev 5228)
@@ -114,7 +114,7 @@
 
    wire        transmit_now;
    wire        atr_ctl;
-   wire [31:0] atr_tx_delay, atr_rx_delay;
+   wire [11:0] atr_tx_delay, atr_rx_delay;
    wire [15:0] atr_mask_0, atr_txval_0, atr_rxval_0, atr_mask_1, atr_txval_1, 
atr_rxval_1, atr_mask_2, atr_txval_2, atr_rxval_2, atr_mask_3, atr_txval_3, 
atr_rxval_3;
       
    setting_reg #(`FR_ATR_MASK_0) 
sr_atr_mask_0(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_mask_0));
@@ -139,7 +139,7 @@
 
    assign      atr_ctl = 1'b1;
 
-   atr_delay atr_delay(.clk_i(master_clk),.rst_i(tx_dsp_reset),.ena_i(atr_ctl 
& enable_tx),.tx_empty_i(tx_empty),
+   atr_delay 
atr_delay(.clk_i(master_clk),.rst_i(tx_dsp_reset),.ena_i(atr_ctl),.tx_empty_i(tx_empty),
                       
.tx_delay_i(atr_tx_delay),.rx_delay_i(atr_rx_delay),.atr_tx_o(transmit_now));
    
    wire [15:0] atr_selected_0 = transmit_now ? atr_txval_0 : atr_rxval_0;





reply via email to

[Prev in Thread] Current Thread [Next in Thread]