commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5468 - in gnuradio/branches/developers/jcorgan/snd/gr


From: jcorgan
Subject: [Commit-gnuradio] r5468 - in gnuradio/branches/developers/jcorgan/snd/gr-sounder/src: fpga fpga/lib fpga/top python
Date: Mon, 14 May 2007 19:40:48 -0600 (MDT)

Author: jcorgan
Date: 2007-05-14 19:40:48 -0600 (Mon, 14 May 2007)
New Revision: 5468

Modified:
   gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/Makefile.am
   gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder.v
   gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_rx.v
   gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_tx.v
   
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/top/usrp_sounder.v
   
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/python/usrp_sounder.py
Log:
Work in progress.

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/Makefile.am
===================================================================
--- gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/Makefile.am    
2007-05-14 22:53:02 UTC (rev 5467)
+++ gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/Makefile.am    
2007-05-15 01:40:48 UTC (rev 5468)
@@ -21,4 +21,4 @@
 
 include $(top_srcdir)/Makefile.common
 
-SUBDIRS = top lib rbf
+SUBDIRS = top lib

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder.v
===================================================================
--- gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder.v  
2007-05-14 22:53:02 UTC (rev 5467)
+++ gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder.v  
2007-05-15 01:40:48 UTC (rev 5468)
@@ -25,15 +25,13 @@
 module sounder(clk_i, saddr_i, sdata_i, s_strobe_i,
               tx_strobe_i, tx_dac_i_o,tx_dac_q_o,
               rx_strobe_i, rx_adc_i_i,rx_adc_q_i,
-              rx_strobe_o, rx_imp_i_o,rx_imp_q_o,
-              reset_o);
+              rx_strobe_o, rx_imp_i_o,rx_imp_q_o);
 
    // System interface
    input         clk_i;                // Master clock @ 64 MHz
    input  [6:0]  saddr_i;      // Configuration bus address
    input  [31:0] sdata_i;      // Configuration bus data
    input        s_strobe_i;    // Configuration bus write
-   output        reset_o;       // Passes up internal reset to top level module
    
    // Transmit subsystem
    input         tx_strobe_i;  // Generate an transmitter output sample
@@ -49,6 +47,7 @@
    output [15:0] rx_imp_q_o;   // Q channel impulse response to Rx FIFO
         
    // Internal variables
+   wire          reset;
    wire         transmit;
    wire         receive;
    wire         loopback;
@@ -58,7 +57,7 @@
 
    setting_reg #(`FR_USER_0) sr_mode
      ( 
.clock(clk_i),.reset(1'b0),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i),
-       .out({loopback,receive,transmit,reset_o}) );
+       .out({loopback,receive,transmit,reset}) );
 
    setting_reg #(`FR_USER_1) sr_lfsr_degree
      ( 
.clock(clk_i),.reset(1'b0),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i),.out(degree)
 );
@@ -74,14 +73,14 @@
    assign rx_q       = loopback ? tx_q  : rx_adc_q_i;
    
    sounder_tx transmitter
-     ( .clk_i(clk_i),.rst_i(reset_o),.ena_i(transmit),
+     ( .clk_i(clk_i),.rst_i(reset),.ena_i(transmit),
        .strobe_i(tx_strobe_i),.mask_i(mask),
        .tx_i_o(tx_i),.tx_q_o(tx_q) );
    
    sounder_rx receiver
-     ( .clk_i(clk_i),.rst_i(reset_o),.ena_i(receive),
+     ( .clk_i(clk_i),.rst_i(reset),.ena_i(receive),
        
.rx_strobe_i(rx_strobe_i),.tx_strobe_i(tx_strobe_i),.mask_i(mask),.len_i(len),
        
.rx_in_i_i(rx_i),.rx_in_q_i(rx_q),.rx_i_o(rx_imp_i_o),.rx_q_o(rx_imp_q_o),
        .rx_strobe_o(rx_strobe_o) );
-   
+
 endmodule // sounder

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_rx.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_rx.v   
    2007-05-14 22:53:02 UTC (rev 5467)
+++ 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_rx.v   
    2007-05-15 01:40:48 UTC (rev 5468)
@@ -37,9 +37,7 @@
    output [15:0] rx_q_o;       // Q channel of impulse response
    output        rx_strobe_o;   // Impulse response value ready
 
-   // Just count up for debugging
-   reg [2:0]  decim;
-   reg [31:0] counter;
+   reg [31:0] decim;
    
    always @(posedge clk_i)
      if (rst_i | ~ena_i)
@@ -49,14 +47,8 @@
      else
        decim <= decim + 1;
 
-   always @(posedge clk_i)
-     if (rst_i | ~ena_i)
-       counter <= 32'b0;
-     else 
-       counter <= counter + 32'b1;
-
-   assign rx_i_o = counter[31:16];
-   assign rx_q_o = counter[15:0];
+   assign rx_i_o = rx_in_i_i;
+   assign rx_q_o = rx_in_q_i;
    assign rx_strobe_o = (decim == 7);
    
 endmodule // sounder_rx

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_tx.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_tx.v   
    2007-05-14 22:53:02 UTC (rev 5467)
+++ 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/lib/sounder_tx.v   
    2007-05-15 01:40:48 UTC (rev 5468)
@@ -39,7 +39,7 @@
    lfsr pn_code
      ( 
.clk_i(clk_i),.rst_i(rst_i),.ena_i(ena_i),.strobe_i(strobe_i),.mask_i(mask_i),.pn_o(pn)
 );
 
-   assign tx_i_o = pn ? `MAX_VALUE : `MIN_VALUE; // Bipolar
+   assign tx_i_o = ena_i ? (pn ? `MAX_VALUE : `MIN_VALUE) : 16'b0; // Bipolar
    assign tx_q_o = 16'b0;
 
 endmodule // sounder_tx

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/top/usrp_sounder.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/top/usrp_sounder.v 
    2007-05-14 22:53:02 UTC (rev 5467)
+++ 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/fpga/top/usrp_sounder.v 
    2007-05-15 01:40:48 UTC (rev 5468)
@@ -149,16 +149,11 @@
    
///////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
    // Top level application
 
-   wire sounder_reset;
-   assign tx_dsp_reset = sounder_reset;
-   assign rx_dsp_reset = sounder_reset;
-   
    sounder sounder
      ( 
.clk_i(clk64),.saddr_i(serial_addr),.sdata_i(serial_data),.s_strobe_i(serial_strobe),
        .tx_strobe_i(tx_sample_strobe),.tx_dac_i_o(tx_i),.tx_dac_q_o(tx_q),
        
.rx_strobe_i(rx_sample_strobe),.rx_adc_i_i(rx_adc0_i),.rx_adc_q_i(rx_adc0_q),
-       .rx_strobe_o(rx_strobe),.rx_imp_i_o(rx_buf_i),.rx_imp_q_o(rx_buf_q),
-       .reset_o(sounder_reset)
+       .rx_strobe_o(rx_strobe),.rx_imp_i_o(rx_buf_i),.rx_imp_q_o(rx_buf_q)
        );
 
    
@@ -184,7 +179,7 @@
      ( .master_clk(clk64),.usbclk(usbclk),
        
.serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
        .tx_bus_reset(tx_bus_reset),.rx_bus_reset(rx_bus_reset),
-       .tx_dsp_reset(),.rx_dsp_reset(), // These are no longer used, reset 
created in sounder module
+       .tx_dsp_reset(tx_dsp_reset),.rx_dsp_reset(rx_dsp_reset),
        .enable_tx(enable_tx),.enable_rx(enable_rx),
        .interp_rate(),.decim_rate(),
        .tx_sample_strobe(tx_sample_strobe),.strobe_interp(),

Modified: 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/python/usrp_sounder.py
===================================================================
--- 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/python/usrp_sounder.py  
    2007-05-14 22:53:02 UTC (rev 5467)
+++ 
gnuradio/branches/developers/jcorgan/snd/gr-sounder/src/python/usrp_sounder.py  
    2007-05-15 01:40:48 UTC (rev 5468)
@@ -58,13 +58,13 @@
        if options.receive:
            print "Creating sounder receiver."
             self._fg = gr.flow_graph()
-           self._rcvr = usrp.source_s(fpga_filename='usrp_sounder.rbf')
+           self._rcvr = usrp.source_s(fpga_filename='usrp_sounder.rbf', 
decim_rate=128)
            self._rcvr_subdev_spec = usrp.pick_rx_subdevice(self._rcvr)
            self._rcvr_subdev = usrp.selected_subdev(self._rcvr, 
self._rcvr_subdev_spec)
            self._sink = gr.file_sink(gr.sizeof_short, "output.dat")
 
            if options.samples >= 0:
-               self._head = gr.head(gr.sizeof_short, 
2*options.samples*gr.sizeof_short)
+               self._head = gr.head(gr.sizeof_short, 
options.samples*gr.sizeof_short)
                self._fg.connect(self._rcvr, self._head, self._sink)
            else:
                self._fg.connect(self._rcvr, self._sink)





reply via email to

[Prev in Thread] Current Thread [Next in Thread]