commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5837 - gnuradio/branches/developers/matt/u2f/control_


From: matt
Subject: [Commit-gnuradio] r5837 - gnuradio/branches/developers/matt/u2f/control_lib
Date: Mon, 25 Jun 2007 20:48:11 -0600 (MDT)

Author: matt
Date: 2007-06-25 20:48:11 -0600 (Mon, 25 Jun 2007)
New Revision: 5837

Modified:
   gnuradio/branches/developers/matt/u2f/control_lib/serdes_tx.v
Log:
renamed some params, fixed CRC generation


Modified: gnuradio/branches/developers/matt/u2f/control_lib/serdes_tx.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/serdes_tx.v       
2007-06-25 23:44:17 UTC (rev 5836)
+++ gnuradio/branches/developers/matt/u2f/control_lib/serdes_tx.v       
2007-06-26 02:48:11 UTC (rev 5837)
@@ -39,11 +39,12 @@
    input fifo_empty_i
    );
 
-   localparam COMMA = 8'b101_11100;  // 0xBC K28.5
-   localparam PKT_START = 8'b110_11100; // 0xDC K28.6
-   localparam PKT_END = 8'b100_11100;  // 0x9C K28.4
-   localparam LOS = 8'b111_11111;  // 0xFF K31.7
-   localparam ERROR = 8'b000_00000; // 0x00 K0.0
+   localparam K_COMMA = 8'b101_11100;     // 0xBC K28.5
+   localparam K_IDLE = 8'b001_11100;      // 0x3C K28.1
+   localparam K_PKT_START = 8'b110_11100; // 0xDC K28.6
+   localparam K_PKT_END = 8'b100_11100;   // 0x9C K28.4
+   localparam K_LOS = 8'b111_11111;       // 0xFF K31.7
+   localparam K_ERROR = 8'b000_00000;     // 0x00 K00.0
    
    assign ser_tx_clk = clk;
 
@@ -67,19 +68,19 @@
      if(rst)
        begin
          state <= IDLE;
-         {ser_tkmsb,ser_tklsb,ser_t} <= {2'b11,COMMA,COMMA};
+         {ser_tkmsb,ser_tklsb,ser_t} <= {2'b11,K_COMMA,K_COMMA};
        end
      else
        case(state)
         IDLE :
           begin
-             {ser_tkmsb,ser_tklsb,ser_t} <= {2'b11,COMMA,COMMA};
+             {ser_tkmsb,ser_tklsb,ser_t} <= {2'b11,K_COMMA,K_COMMA};
              if(fifo_ready_i)
                state <= START;
           end
         START :
           begin
-             {ser_tkmsb,ser_tklsb,ser_t} <= {2'b11,PKT_START,PKT_START};
+             {ser_tkmsb,ser_tklsb,ser_t} <= {2'b11,K_PKT_START,K_PKT_START};
              state <= RUN1;
           end
         RUN1 :
@@ -100,13 +101,13 @@
           end
         WAIT :   // WAIT only necessary if the FIFO is shared and isn't always 
ready
           begin
-             {ser_tkmsb,ser_tklsb,ser_t} <= {2'b11,COMMA,COMMA};
+             {ser_tkmsb,ser_tklsb,ser_t} <= {2'b11,K_COMMA,K_COMMA};
              if(fifo_ready_i)
                state <= RUN1;
           end
         DONE :
           begin
-             {ser_tkmsb,ser_tklsb,ser_t} <= {2'b11,PKT_END,PKT_END};
+             {ser_tkmsb,ser_tklsb,ser_t} <= {2'b11,K_PKT_END,K_PKT_END};
              state <= SENDCRC;
           end
         SENDCRC :
@@ -133,7 +134,7 @@
      else if((state==RUN1)||(state==RUN2))
        CRC <= nextCRC;
 
-   CRC16_D16 
crc_blk(((state==RUN1)?fifo_data_i[15:0]:fifo_data_i[31:16]),CRC,nextCRC);
+   CRC16_D16 
crc_blk(((state==RUN1)?fifo_data_i[15:0]:second_word),CRC,nextCRC);
 
 endmodule // serdes_tx
 





reply via email to

[Prev in Thread] Current Thread [Next in Thread]