commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5870 - gnuradio/branches/developers/zhuochen/inband/u


From: thottelt
Subject: [Commit-gnuradio] r5870 - gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_inband_usb
Date: Thu, 28 Jun 2007 12:16:30 -0600 (MDT)

Author: thottelt
Date: 2007-06-28 12:16:29 -0600 (Thu, 28 Jun 2007)
New Revision: 5870

Modified:
   
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
Log:
removed unusefull file

Modified: 
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
===================================================================
--- 
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
 2007-06-28 18:10:31 UTC (rev 5869)
+++ 
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
 2007-06-28 18:16:29 UTC (rev 5870)
@@ -417,5 +417,4 @@
 set_global_assignment -name VERILOG_FILE ../../sdr_lib/serial_io.v
 set_global_assignment -name VERILOG_FILE ../../sdr_lib/strobe_gen.v
 set_global_assignment -name VERILOG_FILE ../../sdr_lib/sign_extend.v
-set_global_assignment -name VERILOG_FILE ../../inband_lib/channel_ram.v
-set_global_assignment -name VERILOG_FILE "C:/Documents and 
Settings/gnychis/Desktop/fix.v"
\ No newline at end of file
+set_global_assignment -name VERILOG_FILE ../../inband_lib/channel_ram.v
\ No newline at end of file





reply via email to

[Prev in Thread] Current Thread [Next in Thread]