commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5921 - gnuradio/branches/developers/matt/u2f/control_


From: matt
Subject: [Commit-gnuradio] r5921 - gnuradio/branches/developers/matt/u2f/control_lib
Date: Thu, 5 Jul 2007 18:56:11 -0600 (MDT)

Author: matt
Date: 2007-07-05 18:56:10 -0600 (Thu, 05 Jul 2007)
New Revision: 5921

Modified:
   gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool_tb.v
Log:
catch up with current interface


Modified: gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool_tb.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool_tb.v  
2007-07-06 00:55:36 UTC (rev 5920)
+++ gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool_tb.v  
2007-07-06 00:56:10 UTC (rev 5921)
@@ -10,78 +10,41 @@
    wire [31:0] wb_dat_o;
    wire wb_ack_o;
    wire wb_err_o;
+   wire wb_rty_o;
 
-   wire stream_clk;
-   // Write Interfaces
-   wire [31:0] din_0;
-   wire write_0;
-   wire done_0;
-   wire wr_rdy_0;
-   
-   wire [31:0] din_1;
-   wire write_1;
-   wire done_1;
-   wire wr_rdy_1;
-   
-   wire [31:0] din_2;
-   wire write_2;
-   wire done_2;
-   wire wr_rdy_2;
+   wire stream_clk, stream_rst;
 
-   // Read Interfaces
-   wire [31:0] dout_0;
-   wire rd_rdy_0;
-   wire read_0;
-   
-   wire [31:0] dout_1;
-   wire rd_rdy_1;
-   wire read_1;
-   
-   wire [31:0] dout_2;
-   wire rd_rdy_2;
-   wire read_2;
+   wire set_stb;
+   wire [7:0] set_addr;
+   wire [31:0] set_data;
 
+   wire [31:0] wr0_dat_i;
    buffer_pool dut
-     (.wb_clk_i(),
-      .wb_rst_i(),
-      .wb_we_i(),
-      .wb_stb_i(),
-      .wb_adr_i(),
-      .wb_dat_i(),   
-      .wb_dat_o(),
-      .wb_ack_o(),
-      .wb_err_o(),
-
-      .stream_clk(),
-
-      // Write Interfaces
-      .din_0(),
-      .write_0(),
-      .done_0(),
-      .wr_rdy_0(),
-   
-      .din_1(),
-      .write_1(),
-      .done_1(),
-      .wr_rdy_1(),
-   
-      .din_2(),
-      .write_2(),
-      .done_2(),
-      .wr_rdy_2(),
-
-      // Read Interfaces
-      .dout_0(),
-      .rd_rdy_0(),
-      .read_0(),
-   
-      .dout_1(),
-      .rd_rdy_1(),
-      .read_1(),
-   
-      .dout_2(),
-      .rd_rdy_2(),
-      .read_2()    
+     (.wb_clk_i(wb_clk_i),
+      .wb_rst_i(wb_rst_i),
+      .wb_we_i(wb_we_i),
+      .wb_stb_i(wb_stb_i),
+      .wb_adr_i(wb_adr_i),
+      .wb_dat_i(wb_dat_i),   
+      .wb_dat_o(wb_dat_o),
+      .wb_ack_o(wb_ack_o),
+      .wb_err_o(wb_err_o),
+      .wb_rty_o(wb_rty_o),
+      
+      .stream_clk(stream_clk),
+      .stream_rst(stream_rst),
+      
+      .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
+      
+      .wr0_dat_i(wr0_dat_i), .wr0_write_i(), .wr0_done_i(), .wr0_error_i(), 
.wr0_ready_o(), .wr0_full_o(),
+      .wr1_dat_i(), .wr1_write_i(), .wr1_done_i(), .wr1_error_i(), 
.wr1_ready_o(), .wr1_full_o(),
+      .wr2_dat_i(), .wr2_write_i(), .wr2_done_i(), .wr2_error_i(), 
.wr2_ready_o(), .wr2_full_o(),
+      .wr3_dat_i(), .wr3_write_i(), .wr3_done_i(), .wr3_error_i(), 
.wr3_ready_o(), .wr3_full_o(),
+      
+      .rd0_dat_o(), .rd0_read_i(), .rd0_done_i(), .rd0_error_i(), 
.rd0_ready_o(), .rd0_empty_o(),
+      .rd1_dat_o(), .rd1_read_i(), .rd1_done_i(), .rd1_error_i(), 
.rd1_ready_o(), .rd1_empty_o(),
+      .rd2_dat_o(), .rd2_read_i(), .rd2_done_i(), .rd2_error_i(), 
.rd2_ready_o(), .rd2_empty_o(),
+      .rd3_dat_o(), .rd3_read_i(), .rd3_done_i(), .rd3_error_i(), 
.rd3_ready_o(), .rd3_empty_o()
       );
    
 endmodule // buffer_pool_tb





reply via email to

[Prev in Thread] Current Thread [Next in Thread]