commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6002 - gnuradio/branches/developers/matt/u2f/control_


From: matt
Subject: [Commit-gnuradio] r6002 - gnuradio/branches/developers/matt/u2f/control_lib
Date: Tue, 17 Jul 2007 11:48:00 -0600 (MDT)

Author: matt
Date: 2007-07-17 11:48:00 -0600 (Tue, 17 Jul 2007)
New Revision: 6002

Modified:
   gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v
Log:
interrupts and readback of status


Modified: gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v     
2007-07-17 17:47:28 UTC (rev 6001)
+++ gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v     
2007-07-17 17:48:00 UTC (rev 6002)
@@ -25,9 +25,13 @@
    
    input stream_clk,
    input stream_rst,
-
+   
    input set_stb, input [7:0] set_addr, input [31:0] set_data,
    output [15:0] status,
+   output sys_int_o,
+
+   output [31:0] s0, output [31:0] s1, output [31:0] s2, output [31:0] s3,
+   output [31:0] s4, output [31:0] s5, output [31:0] s6, output [31:0] s7,
    
    // Write Interfaces
    input [31:0] wr0_dat_i, input wr0_write_i, input wr0_done_i, input 
wr0_error_i, output wr0_ready_o, output wr0_full_o,
@@ -82,8 +86,17 @@
    wire [7:0]   rd_ready_o;
    wire [7:0]   rd_empty_o;
    
-   assign       status = {error[7:0],done[7:0]};
+   assign       status = {16'd0,error[7:0],done[7:0]};
 
+   assign       s0 = {23'd0,buf_addrb[0]};
+   assign       s1 = {23'd0,buf_addrb[1]};
+   assign       s2 = {23'd0,buf_addrb[2]};
+   assign       s3 = {23'd0,buf_addrb[3]};
+   assign       s4 = {23'd0,buf_addrb[4]};
+   assign       s5 = {23'd0,buf_addrb[5]};
+   assign       s6 = {23'd0,buf_addrb[6]};
+   assign       s7 = {23'd0,buf_addrb[7]};
+   
    wire [31:0]          fifo_ctrl;
    setting_reg #(.my_addr(64)) 
      
sreg(.clk(stream_clk),.rst(stream_rst),.strobe(set_stb),.addr(set_addr),.in(set_data),
@@ -285,5 +298,7 @@
                    .i2(rd_dat_o[2]), .i3(rd_dat_o[3]), .i4(rd_dat_o[4]),
                    .i5(rd_dat_o[5]), .i6(rd_dat_o[6]), 
.i7(rd_dat_o[7]),.o(rd3_dat_o));
 
+   assign sys_int_o = (|error) | (|done);
+      
 endmodule // buffer_pool
 





reply via email to

[Prev in Thread] Current Thread [Next in Thread]