commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6050 - in gnuradio/branches/developers/jcorgan/radar/


From: jcorgan
Subject: [Commit-gnuradio] r6050 - in gnuradio/branches/developers/jcorgan/radar/gr-radar-mono: doc src/fpga/lib src/fpga/top src/python
Date: Mon, 23 Jul 2007 11:48:44 -0600 (MDT)

Author: jcorgan
Date: 2007-07-23 11:48:44 -0600 (Mon, 23 Jul 2007)
New Revision: 6050

Modified:
   gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/doc/registers.ods
   gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar.v
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_config.vh
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_control.v
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.srf
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.v
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/usrp_radar_mono.py
Log:
Reworked board selection, cleanup.

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/doc/registers.ods
===================================================================
(Binary files differ)

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar.v   
    2007-07-21 22:12:56 UTC (rev 6049)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar.v   
    2007-07-23 17:48:44 UTC (rev 6050)
@@ -23,7 +23,7 @@
 
 module radar(clk_i,saddr_i,sdata_i,s_strobe_i,
             tx_side_o,tx_strobe_o,tx_dac_i_o,tx_dac_q_o,
-            rx_side_o,rx_adc_i_i,rx_adc_q_i,
+            rx_adc_i_i,rx_adc_q_i,
             rx_strobe_o,rx_ech_i_o,rx_ech_q_o);
 
    // System interface
@@ -39,7 +39,6 @@
    output [13:0] tx_dac_q_o;    // Q channel transmitter output to DAC
    
    // Receive subsystem
-   output        rx_side_o;     // Receiver slot
    input  [15:0] rx_adc_i_i;   // I channel input from ADC
    input  [15:0] rx_adc_q_i;   // Q channel input from ADC
    output       rx_strobe_o;   // Indicates output samples ready for Rx FIFO
@@ -62,7 +61,7 @@
 
    radar_control controller
      
(.clk_i(clk_i),.saddr_i(saddr_i),.sdata_i(sdata_i),.s_strobe_i(s_strobe_i),
-      .reset_o(reset),.tx_side_o(tx_side_o),.rx_side_o(rx_side_o),
+      .reset_o(reset),.tx_side_o(tx_side_o),
       .tx_strobe_o(tx_strobe_o),.tx_ctrl_o(tx_ctrl),.rx_ctrl_o(rx_ctrl),
       .ampl_o(ampl),.fstart_o(fstart),.fincr_o(fincr));
 

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_config.vh
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_config.vh
       2007-07-21 22:12:56 UTC (rev 6049)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_config.vh
       2007-07-23 17:48:44 UTC (rev 6050)
@@ -25,11 +25,10 @@
 `define FR_RADAR_MODE           `FR_USER_0
 `define bmFR_RADAR_MODE_RESET  32'b1 << 0
 `define bmFR_RADAR_TXSIDE       32'b1 << 1
-`define bmFR_RADAR_RXSIDE       32'b1 << 2
-`define bmFR_RADAR_LOOP         32'b1 << 3
-`define bmFR_RADAR_META         32'b1 << 4
-`define bmFR_RADAR_DERAMP       32'b1 << 5
-`define bmFR_RADAR_CHIRPS       32'b11 << 6
+`define bmFR_RADAR_LOOP         32'b1 << 2
+`define bmFR_RADAR_META         32'b1 << 3
+`define bmFR_RADAR_DERAMP       32'b1 << 4
+`define bmFR_RADAR_CHIRPS       32'b11 << 5
 
 `define FR_RADAR_TON            `FR_USER_1
 `define FR_RADAR_TSW            `FR_USER_2

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_control.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_control.v
       2007-07-21 22:12:56 UTC (rev 6049)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_control.v
       2007-07-23 17:48:44 UTC (rev 6050)
@@ -22,7 +22,7 @@
 `include "../lib/radar_config.vh"
 
 module radar_control(clk_i,saddr_i,sdata_i,s_strobe_i,
-                    reset_o,tx_side_o,rx_side_o,
+                    reset_o,tx_side_o,
                     tx_strobe_o,tx_ctrl_o,rx_ctrl_o,
                     ampl_o,fstart_o,fincr_o);
 
@@ -35,7 +35,6 @@
    // Control and configuration outputs
    output       reset_o;
    output        tx_side_o;
-   output        rx_side_o;
    output        tx_strobe_o;
    output        tx_ctrl_o;
    output        rx_ctrl_o;
@@ -59,10 +58,9 @@
                                           .out(mode));
    assign reset_o   = mode[0];
    assign tx_side_o = mode[1];
-   assign rx_side_o = mode[2];
-   assign lp_ena    = mode[3];
-   assign md_ena    = mode[4];
-   assign dr_ena    = mode[5];
+   assign lp_ena    = mode[2];
+   assign md_ena    = mode[3];
+   assign dr_ena    = mode[4];
    assign chirps    = mode[7:6];
                                     
    setting_reg #(`FR_RADAR_TON)    
sr_ton(.clock(clk_i),.reset(1'b0),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i),
@@ -119,7 +117,7 @@
                count <= 32'b0;
             end
           else
-            count <= count + 24'b1;
+            count <= count + 32'b1;
 
         `ST_LOOK:
           if (count == {16'b0,t_look})
@@ -134,7 +132,7 @@
           if (count == t_idle)
             begin
                state <= `ST_ON;
-               count <= 24'b0;
+               count <= 32'b0;
             end
           else
             count <= count + 32'b1;

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.srf
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.srf
   2007-07-21 22:12:56 UTC (rev 6049)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.srf
   2007-07-23 17:48:44 UTC (rev 6050)
@@ -55,3 +55,7 @@
 { "Warning" "WFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN" "3 " "Warning: 
Following * pins have nothing, GND, or VCC driving datain port -- changes to 
this connectivity may change fitting results" { { "Info" 
"IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "MYSTERY_SIGNAL GND " "Info: 
Pin MYSTERY_SIGNAL has GND driving its datain port" {  } { { 
"usrp_radar_mono.v" "" { Text 
"H:/gnuradio/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.v" 24 -1 0 } } { 
"c:/altera/71sp1/quartus/bin/Assignment Editor.qase" "" { Assignment 
"c:/altera/71sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "MYSTERY_SIGNAL" } 
} } } { "c:/altera/71sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan 
"c:/altera/71sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { MYSTERY_SIGNAL 
} "NODE_NAME" } } { "c:/altera/71sp1/quartus/bin/TimingClosureFloorplan.fld" "" 
{ Floorplan "c:/altera/71sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { 
MYSTERY_SIGNAL } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain 
port" 1 0 "" 0}  } {  } 0 0 "Following %1!d! pins have nothing, GND, or VCC 
driving datain port -- changes to this connectivity may change fitting results" 
0 0 "" 0}
 { "Warning" "WFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN" "3 " "Warning: 
Following * pins have nothing, GND, or VCC driving datain port -- changes to 
this connectivity may change fitting results" { { "Info" 
"IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "FX2_3 GND " "Info: Pin FX2_3 
has GND driving its datain port" {  } { { "usrp_radar_mono.v" "" { Text 
"H:/gnuradio/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.v" 33 -1 0 } } { 
"c:/altera/71sp1/quartus/bin/Assignment Editor.qase" "" { Assignment 
"c:/altera/71sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "FX2_3" } } } } { 
"c:/altera/71sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan 
"c:/altera/71sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { FX2_3 } 
"NODE_NAME" } } { "c:/altera/71sp1/quartus/bin/TimingClosureFloorplan.fld" "" { 
Floorplan "c:/altera/71sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { 
FX2_3 } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 1 
0 "" 0}  } {  } 0 0 "Following %1!d! pins have nothing, GND, or VCC driving 
datain port -- changes to this connectivity may change fitting results" 0 0 "" 
0}
 { "Warning" "WFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN" "3 " "Warning: 
Following * pins have nothing, GND, or VCC driving datain port -- changes to 
this connectivity may change fitting results" { { "Info" 
"IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "usbrdy\[0\] GND " "Info: Pin 
usbrdy\[0\] has GND driving its datain port" {  } { { "usrp_radar_mono.v" "" { 
Text "H:/gnuradio/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.v" 49 -1 0 } 
} { "c:/altera/71sp1/quartus/bin/Assignment Editor.qase" "" { Assignment 
"c:/altera/71sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "usbrdy\[0\]" } } 
} } { "c:/altera/71sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan 
"c:/altera/71sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { usbrdy[0] } 
"NODE_NAME" } } { "c:/altera/71sp1/quartus/bin/TimingClosureFloorplan.fld" "" { 
Floorplan "c:/altera/71sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { 
usbrdy[0] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain 
port" 1 0 "" 0}  } {  } 0 0 "Following %1!d! pins have nothing, GND, or VCC 
driving datain port -- changes to this connectivity may change fitting results" 
0 0 "" 0}
+{ "Warning" "WSGN_WIDTH_MISMATCH_OUTPUT_PORT" "out sr_ampl 16 32 " "Warning: 
Port \"out\" on the entity instantiation of \"sr_ampl\" is connected to a 
signal of width 16. The formal width of the signal in the module is 32.  Extra 
bits will be left dangling without any fanout logic." {  } { { 
"../lib/radar_control.v" "sr_ampl" { Text 
"H:/gnuradio/radar/gr-radar-mono/src/fpga/lib/radar_control.v" 79 0 0 } }  } 0 
0 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a 
signal of width %3!d!. The formal width of the signal in the module is %4!d!.  
Extra bits will be left dangling without any fanout logic." 1 0 "" 0}
+{ "Warning" "WSGN_WIDTH_MISMATCH_OUTPUT_PORT" "out sr_tlook 16 32 " "Warning: 
Port \"out\" on the entity instantiation of \"sr_tlook\" is connected to a 
signal of width 16. The formal width of the signal in the module is 32.  Extra 
bits will be left dangling without any fanout logic." {  } { { 
"../lib/radar_control.v" "sr_tlook" { Text 
"H:/gnuradio/radar/gr-radar-mono/src/fpga/lib/radar_control.v" 73 0 0 } }  } 0 
0 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a 
signal of width %3!d!. The formal width of the signal in the module is %4!d!.  
Extra bits will be left dangling without any fanout logic." 1 0 "" 0}
+{ "Warning" "WSGN_WIDTH_MISMATCH_OUTPUT_PORT" "out sr_tsw 16 32 " "Warning: 
Port \"out\" on the entity instantiation of \"sr_tsw\" is connected to a signal 
of width 16. The formal width of the signal in the module is 32.  Extra bits 
will be left dangling without any fanout logic." {  } { { 
"../lib/radar_control.v" "sr_tsw" { Text 
"H:/gnuradio/radar/gr-radar-mono/src/fpga/lib/radar_control.v" 70 0 0 } }  } 0 
0 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a 
signal of width %3!d!. The formal width of the signal in the module is %4!d!.  
Extra bits will be left dangling without any fanout logic." 1 0 "" 0}
+{ "Warning" "WSGN_WIDTH_MISMATCH_OUTPUT_PORT" "out sr_ton 16 32 " "Warning: 
Port \"out\" on the entity instantiation of \"sr_ton\" is connected to a signal 
of width 16. The formal width of the signal in the module is 32.  Extra bits 
will be left dangling without any fanout logic." {  } { { 
"../lib/radar_control.v" "sr_ton" { Text 
"H:/gnuradio/radar/gr-radar-mono/src/fpga/lib/radar_control.v" 67 0 0 } }  } 0 
0 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a 
signal of width %3!d!. The formal width of the signal in the module is %4!d!.  
Extra bits will be left dangling without any fanout logic." 1 0 "" 0}

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.v
     2007-07-21 22:12:56 UTC (rev 6049)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.v
     2007-07-23 17:48:44 UTC (rev 6050)
@@ -112,7 +112,6 @@
    
    
/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
    // Receive Side
-   wire        rx_side;
    wire        rx_strobe;
    wire [15:0] rx_adc0_i, rx_adc0_q;
    wire [15:0] rx_buf_i, rx_buf_q;
@@ -145,7 +144,7 @@
    // Top level application
    radar radar_mono ( 
.clk_i(clk64),.saddr_i(serial_addr),.sdata_i(serial_data),.s_strobe_i(serial_strobe),
             
.tx_side_o(tx_side),.tx_strobe_o(tx_sample_strobe),.tx_dac_i_o(tx_i),.tx_dac_q_o(tx_q),
-            .rx_side_o(rx_side),.rx_adc_i_i(rx_adc0_i),.rx_adc_q_i(rx_adc0_q),
+            .rx_adc_i_i(rx_adc0_i),.rx_adc_q_i(rx_adc0_q),
             .rx_strobe_o(rx_strobe),.rx_ech_i_o(rx_buf_i),.rx_ech_q_o(rx_buf_q)
           );
    

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
   2007-07-21 22:12:56 UTC (rev 6049)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
   2007-07-23 17:48:44 UTC (rev 6050)
@@ -31,11 +31,10 @@
 FR_RADAR_MODE           = usrp.FR_USER_0    # Operational mode
 bmFR_RADAR_MODE_RESET   = 1 << 0       # bit 0: active high reset
 bmFR_RADAR_TXSIDE       = 1 << 1        # bit 1: use TX side A or B
-bmFR_RADAR_RXSIDE       = 1 << 2        # bit 2: use RX side A or B
-#bmFR_RADAR_MODE_LP      = 1 << 3      # bit 3: enable digital loopback
-#bmFR_RADAR_MODE_DR      = 1 << 4      # bit 4: enable on-board deramping
-#bmFR_RADAR_MODE_MD      = 1 << 5      # bit 5: enable echo metadata
-#bmFR_RADAR_MODE_CHIRPS  = 3 << 6      # bit 6,7: number of chirp center 
frequencies
+#bmFR_RADAR_MODE_LP      = 1 << 2      # bit 2: enable digital loopback
+#bmFR_RADAR_MODE_DR      = 1 << 3      # bit 3: enable on-board deramping
+#bmFR_RADAR_MODE_MD      = 1 << 4      # bit 4: enable echo metadata
+#bmFR_RADAR_MODE_CHIRPS  = 3 << 5      # bit 5,6: number of chirp center 
frequencies
 
 FR_RADAR_TON    = usrp.FR_USER_1       # 16-bit transmitter on time in clocks
 FR_RADAR_TSW    = usrp.FR_USER_2       # 16-bit transmitter switch time in 
clocks
@@ -48,31 +47,31 @@
 # These are for phase II development
 #FR_RADAR_FREQ1N = usrp.FR_USER_8  # 24-bit N register for chirp #1
 #FR_RADAR_FREQ1R = usrp.FR_USER_9  # 24-bit R register for chirp #1
-#FR_RADAR_FREQ1C = usrp.FR_USER_10 # 24-bit control register for chirp #1
+#FR_RADAR_FREQ1C = usrp.FR_USER_10 # 24-bit C register for chirp #1
 #FR_RADAR_FREQ2N = usrp.FR_USER_11 # 24-bit N register for chirp #2
 #FR_RADAR_FREQ2R = usrp.FR_USER_12 # 24-bit R register for chirp #2
-#FR_RADAR_FREQ2C = usrp.FR_USER_13 # 24-bit control register for chirp #2
+#FR_RADAR_FREQ2C = usrp.FR_USER_13 # 24-bit C register for chirp #2
 #FR_RADAR_FREQ3N = usrp.FR_USER_14 # 24-bit N register for chirp #3
 #FR_RADAR_FREQ3R = usrp.FR_USER_15 # 24-bit R register for chirp #3
-#FR_RADAR_FREQ3C = usrp.FR_USER_16 # 24-bit control register for chirp #3
+#FR_RADAR_FREQ3C = usrp.FR_USER_16 # 24-bit C register for chirp #3
 #FR_RADAR_FREQ4N = usrp.FR_USER_17 # 24-bit N register for chirp #4
 #FR_RADAR_FREQ4R = usrp.FR_USER_18 # 24-bit R register for chirp #4
-#FR_RADAR_FREQ4C = usrp.FR_USER_19 # 24-bit control register for chirp #4
+#FR_RADAR_FREQ4C = usrp.FR_USER_19 # 24-bit C register for chirp #4
 
 #-----------------------------------------------------------------------
 # Transmitter object.  Uses usrp_sink, but only for a handle to the
 # FPGA registers.
 #-----------------------------------------------------------------------
 class radar_tx:
-    def __init__(self, side='A', verbose=False, debug=False):
+    def __init__(self, subdev_spec=None, verbose=False, debug=False):
+        self._subdev_spec = subdev_spec
        self._verbose = verbose
        self._debug = debug
-
         self._u = usrp.sink_s(fpga_filename='usrp_radar_mono.rbf')
-       if side == 'B':
-           self._subdev_spec = (1, 0)
-       else:
-           self._subdev_spec = (0, 0)
+
+        if self._subdev_spec == None:
+            self._subdev_spec == usrp.pick_tx_subdevice(self._u)
+
         self._subdev = usrp.selected_subdev(self._u, self._subdev_spec)
        self._subdev.set_lo_offset(0.0)
        self._ton_ticks = 0
@@ -138,8 +137,11 @@
        self._subdev.set_enable(False)
        self._u.stop()
 
+    def subdev_spec(self):
+        return self._subdev_spec
+    
     def __del__(self):
-       del self._subdev
+       del self._subdev # Avoid weak reference error
        
 #-----------------------------------------------------------------------
 # Receiver object.  Uses usrp_source_c to receive echo records.
@@ -157,7 +159,7 @@
         self._fg = gr.flow_graph()
         self._u = usrp.source_c(fpga_filename='usrp_radar.rbf')
        if not self._loopback:
-           # FIXME for using options.rx_side
+           # FIXME for using options.rx_subdev_spec
             self._subdev_spec = (0,0) # FPGA only implements side A
             self._u.set_mux(usrp.determine_rx_mux_value(self._u, 
self._subdev_spec))
             self._subdev = usrp.selected_subdev(self._u, self._subdev_spec)
@@ -216,15 +218,15 @@
 """
 
 class radar:
-    def __init__(self, msgq=None, tx_side='A', rx_side='A', verbose=False, 
debug=False):
+    def __init__(self, msgq=None, tx_subdev_spec=None, verbose=False, 
debug=False):
         self._msgq = msgq
         self._verbose = verbose
         self._debug = debug
 
        self._mode = 0
-        self._trans = radar_tx(side=tx_side, verbose=self._verbose, 
debug=self._debug)
+        self._trans = radar_tx(subdev_spec=tx_subdev_spec, 
verbose=self._verbose, debug=self._debug)
        self.set_reset(True)
-       self.set_boards('A', 'A')
+       self.set_tx_board(self._trans.subdev_spec())
                        
     def _write_mode(self):
         if self._debug:
@@ -242,15 +244,11 @@
            self._mode &= ~bmFR_RADAR_MODE_RESET
        self._write_mode()
 
-    def set_boards(self, tx_side, rx_side):
-       if tx_side == 'B':
+    def set_tx_board(self, tx_subdev_spec):
+       if tx_subdev_spec[0] == 1:
            self._mode |= bmFR_RADAR_TXSIDE
        else:
            self._mode &= ~bmFR_RADAR_TXSIDE
-       if rx_side == 'B':
-           self._mode |= bmFR_RADAR_RXSIDE
-       else:
-           self._mode &= ~bmFR_RADAR_RXSIDE
        self._write_mode()
        
     """

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/usrp_radar_mono.py
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/usrp_radar_mono.py
      2007-07-21 22:12:56 UTC (rev 6049)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/usrp_radar_mono.py
      2007-07-23 17:48:44 UTC (rev 6050)
@@ -31,10 +31,8 @@
 
 def main():
     parser = OptionParser(option_class=eng_option)
-    parser.add_option("-T", "--tx-side", default='A',
-                     help="use transmitter board side A or B (default is A)")
-    parser.add_option("-R", "--rx-side", default='A',
-                     help="use receiver board side A or B (default is A)")
+    parser.add_option("-T", "--tx-subdev-spec", type="subdev", default=None,
+                     help="use transmitter board side A or B (default is first 
found)")
     parser.add_option("-f", "--frequency", type="eng_float", default=0.0,
                       help="set transmitter center frequency to FREQ in Hz, 
default is %default", metavar="FREQ")
     parser.add_option("-w", "--chirp-width", type="eng_float", default=32e6,
@@ -78,10 +76,9 @@
     """
         
     msgq = gr.msg_queue()
-    s = radar(msgq=msgq, tx_side=options.tx_side, rx_side=options.rx_side,
+    s = radar(msgq=msgq, tx_subdev_spec=options.tx_subdev_spec,
              verbose=options.verbose, debug=options.debug)
 
-    s.set_boards(options.tx_side, options.rx_side)
     s.set_ton(options.ton)
     s.set_tsw(options.tsw)
     s.set_tlook(options.tlook)





reply via email to

[Prev in Thread] Current Thread [Next in Thread]