commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6058 - gnuradio/branches/developers/matt/u2f/control_


From: matt
Subject: [Commit-gnuradio] r6058 - gnuradio/branches/developers/matt/u2f/control_lib
Date: Tue, 24 Jul 2007 01:01:35 -0600 (MDT)

Author: matt
Date: 2007-07-24 01:01:34 -0600 (Tue, 24 Jul 2007)
New Revision: 6058

Modified:
   gnuradio/branches/developers/matt/u2f/control_lib/longfifo.v
Log:
reordered to fix compile problem


Modified: gnuradio/branches/developers/matt/u2f/control_lib/longfifo.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/longfifo.v        
2007-07-23 22:33:46 UTC (rev 6057)
+++ gnuradio/branches/developers/matt/u2f/control_lib/longfifo.v        
2007-07-24 07:01:34 UTC (rev 6058)
@@ -26,6 +26,11 @@
      else if(write)
        wr_addr <= wr_addr + 1;
 
+   // Read side states
+   localparam    EMPTY = 0;
+   localparam    PRE_READ = 1;
+   localparam    READING = 2;
+
    ram_2port #(.DWIDTH(WIDTH),.AWIDTH(SIZE))
      ram (.clka(clk),
          .ena(1),
@@ -41,11 +46,6 @@
          .dib(0),
          .dob(dataout));
 
-   // Read side state
-   localparam    EMPTY = 0;
-   localparam    PRE_READ = 1;
-   localparam    READING = 2;
-
    always @(posedge clk)
      if(rst)
        begin





reply via email to

[Prev in Thread] Current Thread [Next in Thread]