commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6062 - gnuradio/branches/developers/matt/u2f/control_


From: matt
Subject: [Commit-gnuradio] r6062 - gnuradio/branches/developers/matt/u2f/control_lib
Date: Tue, 24 Jul 2007 16:13:28 -0600 (MDT)

Author: matt
Date: 2007-07-24 16:13:27 -0600 (Tue, 24 Jul 2007)
New Revision: 6062

Modified:
   gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v
Log:
reenabled interrupts, code cleanup


Modified: gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v     
2007-07-24 22:12:52 UTC (rev 6061)
+++ gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v     
2007-07-24 22:13:27 UTC (rev 6062)
@@ -136,7 +136,8 @@
           ram_2port #(.DWIDTH(32),.AWIDTH(9)) buffer
             (.clka(wb_clk_i),.ena(wb_stb_i & sel_a[i]),.wea(wb_we_i),
              .addra(buf_addra),.dia(wb_dat_i),.doa(buf_doa[i]),
-             
.clkb(stream_clk),.enb(buf_enb[i]),.web(buf_web[i]),.addrb(buf_addrb[i]),.dib(buf_dib[i]),.dob(buf_dob[i]));
 
+             .clkb(stream_clk),.enb(buf_enb[i]),.web(buf_web[i]),
+             .addrb(buf_addrb[i]),.dib(buf_dib[i]),.dob(buf_dob[i])); 
           fifo_int #(.BUFF_NUM(i)) fifo_int
             (.clk(stream_clk),.rst(stream_rst),
              .ctrl_word(fifo_ctrl),.go(go & (fifo_ctrl[31:28]==i)),
@@ -298,8 +299,8 @@
                    .i2(rd_dat_o[2]), .i3(rd_dat_o[3]), .i4(rd_dat_o[4]),
                    .i5(rd_dat_o[5]), .i6(rd_dat_o[6]), 
.i7(rd_dat_o[7]),.o(rd3_dat_o));
 
-   //assign sys_int_o = (|error) | (|done);
-   assign sys_int_o = 0;
+   assign sys_int_o = (|error) | (|done);
+   //assign sys_int_o = 0;
       
 endmodule // buffer_pool
 





reply via email to

[Prev in Thread] Current Thread [Next in Thread]