commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r8169 - in gnuradio/branches/developers/gnychis/fpga/u


From: gnychis
Subject: [Commit-gnuradio] r8169 - in gnuradio/branches/developers/gnychis/fpga/usrp/fpga: inband_lib toplevel/usrp_inband_usb
Date: Wed, 9 Apr 2008 14:32:50 -0600 (MDT)

Author: gnychis
Date: 2008-04-09 14:32:50 -0600 (Wed, 09 Apr 2008)
New Revision: 8169

Modified:
   gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/register_io.v
   
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
Log:
disabling reads on the set of registers

Modified: 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/register_io.v
===================================================================
--- 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/register_io.v    
    2008-04-09 20:26:56 UTC (rev 8168)
+++ 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/register_io.v    
    2008-04-09 20:32:50 UTC (rev 8169)
@@ -45,9 +45,7 @@
                 if (enable[0])
                   begin
                     //read
-                               if (addr <= 7'd43)
-                                       dataout <= bundle[addr];
-                               else if (addr <= 7'd52 && addr > 7'd50)
+                               if (addr <= 7'd52 && addr > 7'd50)
                                        dataout <= out[addr-7'd50];
                                else
                                        dataout <= 32'hFFFFFFFF;        

Modified: 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
===================================================================
--- 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
    2008-04-09 20:26:56 UTC (rev 8168)
+++ 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
    2008-04-09 20:32:50 UTC (rev 8169)
@@ -27,7 +27,7 @@
 # ========================
 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 3.0
 set_global_assignment -name PROJECT_CREATION_TIME_DATE "00:14:04  JULY 13, 
2003"
-set_global_assignment -name LAST_QUARTUS_VERSION "5.1 SP1"
+set_global_assignment -name LAST_QUARTUS_VERSION "7.2 SP2"
 
 # Pin & Location Assignments
 # ==========================
@@ -418,4 +418,6 @@
 set_global_assignment -name VERILOG_FILE ../../sdr_lib/strobe_gen.v
 set_global_assignment -name VERILOG_FILE ../../sdr_lib/sign_extend.v
 set_global_assignment -name VERILOG_FILE ../../inband_lib/channel_ram.v
-set_global_assignment -name VERILOG_FILE ../../inband_lib/register_io.v
\ No newline at end of file
+set_global_assignment -name VERILOG_FILE ../../inband_lib/register_io.v
+set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
+set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
\ No newline at end of file





reply via email to

[Prev in Thread] Current Thread [Next in Thread]