commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r8236 - usrp2/trunk/fpga/top/u2plus


From: matt
Subject: [Commit-gnuradio] r8236 - usrp2/trunk/fpga/top/u2plus
Date: Mon, 21 Apr 2008 15:19:19 -0600 (MDT)

Author: matt
Date: 2008-04-21 15:19:18 -0600 (Mon, 21 Apr 2008)
New Revision: 8236

Modified:
   usrp2/trunk/fpga/top/u2plus/u2plus.ucf
   usrp2/trunk/fpga/top/u2plus/u2plus.v
Log:
pins assigned, need to be hooked up internally


Modified: usrp2/trunk/fpga/top/u2plus/u2plus.ucf
===================================================================
--- usrp2/trunk/fpga/top/u2plus/u2plus.ucf      2008-04-21 18:32:47 UTC (rev 
8235)
+++ usrp2/trunk/fpga/top/u2plus/u2plus.ucf      2008-04-21 21:19:18 UTC (rev 
8236)
@@ -1,311 +1,268 @@
-NET "leds[0]"  LOC = "F7"  ; 
-NET "leds[1]"  LOC = "E5"  ; 
-NET "leds[2]"  LOC = "B7"  ; 
-NET "leds[3]"  LOC = "C11"  ; 
-NET "leds[4]"  LOC = "AB19"  ;
-NET "debug[0]"  LOC = "N5"  ;
-NET "debug[1]"  LOC = "N6"  ;
-NET "debug[2]"  LOC = "P1"  ;
-NET "debug[3]"  LOC = "P2"  ;
-NET "debug[4]"  LOC = "P4"  ;
-NET "debug[5]"  LOC = "P5"  ;
-NET "debug[6]"  LOC = "R1"  ;
-NET "debug[7]"  LOC = "R2"  ;
-NET "debug[8]"  LOC = "P6"  ;
-NET "debug[9]"  LOC = "R5"  ;
-NET "debug[10]"  LOC = "R4"  ;
-NET "debug[11]"  LOC = "T3"  ;
-NET "debug[12]"  LOC = "U3"  ;
-NET "debug[13]"  LOC = "M2"  ;
-NET "debug[14]"  LOC = "M3"  ;
-NET "debug[15]"  LOC = "M4"  ;
-NET "debug[16]"  LOC = "M5"  ;
-NET "debug[17]"  LOC = "M6"  ;
-NET "debug[18]"  LOC = "N1"  ;
-NET "debug[19]"  LOC = "N2"  ;
-NET "debug[20]"  LOC = "N3"  ;
-NET "debug[21]"  LOC = "T1"  ;
-NET "debug[22]"  LOC = "T2"  ;
-NET "debug[23]"  LOC = "U2"  ;
-NET "debug[24]"  LOC = "T4"  ;
-NET "debug[25]"  LOC = "U4"  ;
-NET "debug[26]"  LOC = "T5"  ;
-NET "debug[27]"  LOC = "T6"  ;
-NET "debug[28]"  LOC = "U5"  ;
-NET "debug[29]"  LOC = "V5"  ;
-NET "debug[30]"  LOC = "W2"  ;
-NET "debug[31]"  LOC = "W3"  ;
-NET "debug_clk[0]"  LOC = "N4"  ;
-NET "debug_clk[1]"  LOC = "M1"  ;
-NET "uart_tx_o"  LOC = "C7"  ;
-NET "uart_rx_i"  LOC = "A3"  ;
-NET "exp_pps_in_p"  LOC = "V3"  ; 
-NET "exp_pps_in_n"  LOC = "V4"  ; 
-NET "exp_pps_out_p"  LOC = "V1"  ; 
-NET "exp_pps_out_n"  LOC = "V2"  ; 
-NET "GMII_COL"  LOC = "U16"  ; 
-NET "GMII_CRS"  LOC = "U17"  ; 
-NET "GMII_TXD[0]"  LOC = "W14"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
-NET "GMII_TXD[1]"  LOC = "AA20"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
-NET "GMII_TXD[2]"  LOC = "AB20"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
-NET "GMII_TXD[3]"  LOC = "Y18"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
-NET "GMII_TXD[4]"  LOC = "AA18"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
-NET "GMII_TXD[5]"  LOC = "AB18"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
-NET "GMII_TXD[6]"  LOC = "V17"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
-NET "GMII_TXD[7]"  LOC = "W17"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
-NET "GMII_TX_EN"  LOC = "Y17" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ; 
-NET "GMII_TX_ER"  LOC = "V16" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ; 
-NET "GMII_GTX_CLK"  LOC = "AA17" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ; 
-NET "GMII_TX_CLK"  LOC = "W13"  ; 
-NET "GMII_RXD[0]"  LOC = "AA15"  ;
-NET "GMII_RXD[1]"  LOC = "AB15"  ;
-NET "GMII_RXD[2]"  LOC = "U14"  ;
-NET "GMII_RXD[3]"  LOC = "V14"  ;
-NET "GMII_RXD[4]"  LOC = "U13"  ;
-NET "GMII_RXD[5]"  LOC = "V13"  ;
-NET "GMII_RXD[6]"  LOC = "Y13"  ;
-NET "GMII_RXD[7]"  LOC = "AA13"  ;
-NET "GMII_RX_CLK"  LOC = "W16"  ; 
-NET "GMII_RX_DV"  LOC = "AB16"  ; 
-NET "GMII_RX_ER"  LOC = "AA16"  ; 
-NET "MDIO"  LOC = "Y16" | PULLUP ; 
-NET "MDC"  LOC = "V18"  ; 
-NET "PHY_INTn"  LOC = "AB13"  ; 
-NET "PHY_RESETn"  LOC = "AA19"  ; 
-NET "PHY_CLK"  LOC = "V15"  ; 
-NET "RAM_D[0]"  LOC = "N20"  ;
-NET "RAM_D[1]"  LOC = "N21"  ;
-NET "RAM_D[2]"  LOC = "N22"  ;
-NET "RAM_D[3]"  LOC = "M17"  ;
-NET "RAM_D[4]"  LOC = "M18"  ;
-NET "RAM_D[5]"  LOC = "M19"  ;
-NET "RAM_D[6]"  LOC = "M20"  ;
-NET "RAM_D[7]"  LOC = "M21"  ;
-NET "RAM_D[8]"  LOC = "M22"  ;
-NET "RAM_D[9]"  LOC = "Y22"  ;
-NET "RAM_D[10]"  LOC = "Y21"  ;
-NET "RAM_D[11]"  LOC = "Y20"  ;
-NET "RAM_D[12]"  LOC = "Y19"  ;
-NET "RAM_D[13]"  LOC = "W22"  ;
-NET "RAM_D[14]"  LOC = "W21"  ;
-NET "RAM_D[15]"  LOC = "W20"  ;
-NET "RAM_D[16]"  LOC = "W19"  ;
-NET "RAM_D[17]"  LOC = "V22"  ;
-NET "RAM_A[0]"  LOC = "U21"  ;
-NET "RAM_A[1]"  LOC = "T19"  ;
-NET "RAM_A[2]"  LOC = "V21"  ;
-NET "RAM_A[3]"  LOC = "V20"  ;
-NET "RAM_A[4]"  LOC = "T20"  ;
-NET "RAM_A[5]"  LOC = "T21"  ;
-NET "RAM_A[6]"  LOC = "T22"  ;
-NET "RAM_A[7]"  LOC = "T18"  ;
-NET "RAM_A[8]"  LOC = "R18"  ;
-NET "RAM_A[9]"  LOC = "P19"  ;
-NET "RAM_A[10]"  LOC = "P21"  ;
-NET "RAM_A[11]"  LOC = "P22"  ;
-NET "RAM_A[12]"  LOC = "N19"  ;
-NET "RAM_A[13]"  LOC = "N17"  ;
-NET "RAM_A[14]"  LOC = "N18"  ;
-NET "RAM_A[15]"  LOC = "T17"  ;
-NET "RAM_A[16]"  LOC = "U19"  ;
-NET "RAM_A[17]"  LOC = "U18"  ;
-NET "RAM_A[18]"  LOC = "V19"  ;
-NET "RAM_CE1n"  LOC = "U20"  ; 
-NET "RAM_CENn"  LOC = "P18"  ; 
-NET "RAM_CLK"  LOC = "P17"  ; 
-NET "RAM_WEn"  LOC = "R22"  ; 
-NET "RAM_OEn"  LOC = "R21"  ; 
-NET "RAM_LDn"  LOC = "R19"  ; 
-NET "ser_enable"  LOC = "W11"  ; 
-NET "ser_prbsen"  LOC = "AA3"  ; 
-NET "ser_loopen"  LOC = "Y4"  ; 
-NET "ser_rx_en"  LOC = "AB9"  ; 
-NET "ser_tx_clk"  LOC = "U7" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ; 
-NET "ser_t[0]"  LOC = "V7"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_t[1]"  LOC = "V10"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_t[2]"  LOC = "AB4"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_t[3]"  LOC = "AA4"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_t[4]"  LOC = "Y5"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_t[5]"  LOC = "W5"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_t[6]"  LOC = "AB5"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_t[7]"  LOC = "AA5"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_t[8]"  LOC = "W6"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_t[9]"  LOC = "V6"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_t[10]"  LOC = "AA6"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_t[11]"  LOC = "Y6"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_t[12]"  LOC = "W8"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_t[13]"  LOC = "V8"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_t[14]"  LOC = "AB8"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_t[15]"  LOC = "AA8"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
-NET "ser_tklsb"  LOC = "U10" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ; 
-NET "ser_tkmsb"  LOC = "U11" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ; 
-NET "ser_rx_clk"  LOC = "AA11"  ; 
-NET "ser_r[0]"  LOC = "AB10"  ;
-NET "ser_r[1]"  LOC = "AA10"  ;
-NET "ser_r[2]"  LOC = "U9"  ;
-NET "ser_r[3]"  LOC = "U6"  ;
-NET "ser_r[4]"  LOC = "AB11"  ;
-NET "ser_r[5]"  LOC = "Y7"  ;
-NET "ser_r[6]"  LOC = "W7"  ;
-NET "ser_r[7]"  LOC = "AB7"  ;
-NET "ser_r[8]"  LOC = "AA7"  ;
-NET "ser_r[9]"  LOC = "W9"  ;
-NET "ser_r[10]"  LOC = "W10"  ;
-NET "ser_r[11]"  LOC = "Y1"  ;
-NET "ser_r[12]"  LOC = "Y3"  ;
-NET "ser_r[13]"  LOC = "Y2"  ;
-NET "ser_r[14]"  LOC = "W4"  ;
-NET "ser_r[15]"  LOC = "W1"  ;
-NET "ser_rklsb"  LOC = "V9"  ;
-NET "ser_rkmsb"  LOC = "Y10"  ; 
-NET "cpld_start"  LOC = "AA9"  ; 
-NET "cpld_mode"  LOC = "U12"  ; 
-NET "cpld_done"  LOC = "V12"  ; 
-NET "cpld_din"  LOC = "AA14"  ; 
-NET "cpld_clk"  LOC = "AB14"  ; 
-NET "cpld_detached"  LOC = "V11"  ;
-NET "cpld_init_b"  LOC = "W12"  ;
-NET "cpld_misc"  LOC = "Y12"  ;
-NET "adc_a[0]"  LOC = "A14"  ;
-NET "adc_a[1]"  LOC = "B14"  ;
-NET "adc_a[2]"  LOC = "C13"  ;
-NET "adc_a[3]"  LOC = "D13"  ;
-NET "adc_a[4]"  LOC = "A13"  ;
-NET "adc_a[5]"  LOC = "B13"  ;
-NET "adc_a[6]"  LOC = "E12"  ;
-NET "adc_a[7]"  LOC = "C22"  ;
-NET "adc_a[8]"  LOC = "C20"  ;
-NET "adc_a[9]"  LOC = "C21"  ;
-NET "adc_a[10]"  LOC = "D20"  ;
-NET "adc_a[11]"  LOC = "D19"  ;
-NET "adc_a[12]"  LOC = "D21"  ;
-NET "adc_a[13]"  LOC = "E18"  ;
-NET "adc_ovf_a"  LOC = "F18"  ; 
-NET "adc_oen_a"  LOC = "E19"  ; 
-NET "adc_pdn_a"  LOC = "E20"  ; 
-NET "adc_b[0]"  LOC = "A12"  ;
-NET "adc_b[1]"  LOC = "E16"  ;
-NET "adc_b[2]"  LOC = "F12"  ;
-NET "adc_b[3]"  LOC = "F13"  ;
-NET "adc_b[4]"  LOC = "F16"  ;
-NET "adc_b[5]"  LOC = "F17"  ;
-NET "adc_b[6]"  LOC = "C19"  ;
-NET "adc_b[7]"  LOC = "B20"  ;
-NET "adc_b[8]"  LOC = "B19"  ;
-NET "adc_b[9]"  LOC = "C18"  ;
-NET "adc_b[10]"  LOC = "D18"  ;
-NET "adc_b[11]"  LOC = "B18"  ;
-NET "adc_b[12]"  LOC = "D17"  ;
-NET "adc_b[13]"  LOC = "E17"  ;
-NET "adc_ovf_b"  LOC = "B17"  ; 
-NET "adc_oen_b"  LOC = "C17"  ; 
-NET "adc_pdn_b"  LOC = "D15"  ; 
-NET "dac_a[0]"  LOC = "A5"  ;
-NET "dac_a[1]"  LOC = "B5"  ;
-NET "dac_a[2]"  LOC = "C5"  ;
-NET "dac_a[3]"  LOC = "D5"  ;
-NET "dac_a[4]"  LOC = "A4"  ;
-NET "dac_a[5]"  LOC = "B4"  ;
-NET "dac_a[6]"  LOC = "F6"  ;
-NET "dac_a[7]"  LOC = "D10"  ;
-NET "dac_a[8]"  LOC = "D9"  ;
-NET "dac_a[9]"  LOC = "A10"  ;
-NET "dac_a[10]"  LOC = "L2"  ;
-NET "dac_a[11]"  LOC = "L4"  ;
-NET "dac_a[12]"  LOC = "L3"  ;
-NET "dac_a[13]"  LOC = "L6"  ;
-NET "dac_a[14]"  LOC = "L5"  ;
-NET "dac_a[15]"  LOC = "K2"  ;
-NET "dac_b[0]"  LOC = "D11"  ;
-NET "dac_b[1]"  LOC = "E11"  ;
-NET "dac_b[2]"  LOC = "F11"  ;
-NET "dac_b[3]"  LOC = "B10"  ;
-NET "dac_b[4]"  LOC = "C10"  ;
-NET "dac_b[5]"  LOC = "E10"  ;
-NET "dac_b[6]"  LOC = "F10"  ;
-NET "dac_b[7]"  LOC = "A9"  ;
-NET "dac_b[8]"  LOC = "B9"  ;
-NET "dac_b[9]"  LOC = "E9"  ;
-NET "dac_b[10]"  LOC = "F9"  ;
-NET "dac_b[11]"  LOC = "A8"  ;
-NET "dac_b[12]"  LOC = "B8"  ;
-NET "dac_b[13]"  LOC = "D7"  ;
-NET "dac_b[14]"  LOC = "E7"  ;
-NET "dac_b[15]"  LOC = "B6"  ;
-NET "dac_lock"  LOC = "D6"  ;
-NET "SCL"  LOC = "A7"  ; 
-NET "SDA"  LOC = "D8"  ; 
-NET "clk_en[0]"  LOC = "C4"  ;
-NET "clk_en[1]"  LOC = "D1"  ;
-NET "clk_sel[0]"  LOC = "C3"  ;
-NET "clk_sel[1]"  LOC = "C2"  ;
-NET "clk_func"  LOC = "C12"  ; 
-NET "clk_status"  LOC = "B12"  ; 
-NET "clk_fpga_p"  LOC = "A11"  ; 
-NET "clk_fpga_n"  LOC = "B11"  ; 
-NET "clk_to_mac"  LOC = "AB12"  ; 
-NET "pps_in"  LOC = "Y11"  ; 
-NET "sclk"  LOC = "K5"  ; 
-NET "sen_clk"  LOC = "K6"  ; 
-NET "sen_dac"  LOC = "L1"  ; 
-NET "sdi"  LOC = "J1"  ; 
-NET "sdo"  LOC = "J2"  ; 
-NET "sen_tx_db"  LOC = "C1"  ; 
-NET "sclk_tx_db"  LOC = "D3"  ; 
-NET "sdo_tx_db"  LOC = "G3"  ; 
-NET "sdi_tx_db"  LOC = "G4"  ; 
-NET "sen_tx_adc"  LOC = "G2"  ; 
-NET "sclk_tx_adc"  LOC = "H1"  ; 
-NET "sdo_tx_adc"  LOC = "H2"  ; 
-NET "sdi_tx_adc"  LOC = "J4"  ; 
-NET "sen_tx_dac"  LOC = "H4"  ; 
-NET "sclk_tx_dac"  LOC = "J5"  ; 
-NET "sdi_tx_dac"  LOC = "J6"  ; 
-NET "io_tx[0]"  LOC = "K4"  ;
-NET "io_tx[1]"  LOC = "K3"  ;
-NET "io_tx[2]"  LOC = "G1"  ;
-NET "io_tx[3]"  LOC = "G5"  ;
-NET "io_tx[4]"  LOC = "H5"  ;
-NET "io_tx[5]"  LOC = "F3"  ;
-NET "io_tx[6]"  LOC = "F2"  ;
-NET "io_tx[7]"  LOC = "F5"  ;
-NET "io_tx[8]"  LOC = "G6"  ;
-NET "io_tx[9]"  LOC = "E2"  ;
-NET "io_tx[10]"  LOC = "E1"  ;
-NET "io_tx[11]"  LOC = "E3"  ;
-NET "io_tx[12]"  LOC = "F4"  ;
-NET "io_tx[13]"  LOC = "D2"  ;
-NET "io_tx[14]"  LOC = "D4"  ;
-NET "io_tx[15]"  LOC = "E4"  ;
-NET "sen_rx_db"  LOC = "D22"  ; 
-NET "sclk_rx_db"  LOC = "F19"  ; 
-NET "sdo_rx_db"  LOC = "G20"  ; 
-NET "sdi_rx_db"  LOC = "H19"  ; 
-NET "sen_rx_adc"  LOC = "H18"  ; 
-NET "sclk_rx_adc"  LOC = "J17"  ; 
-NET "sdo_rx_adc"  LOC = "H21"  ; 
-NET "sdi_rx_adc"  LOC = "H22"  ; 
-NET "sen_rx_dac"  LOC = "J18"  ; 
-NET "sclk_rx_dac"  LOC = "J19"  ; 
-NET "sdi_rx_dac"  LOC = "J21"  ; 
-NET "io_rx[0]"  LOC = "L21"  ;
-NET "io_rx[1]"  LOC = "L20"  ;
-NET "io_rx[2]"  LOC = "L19"  ;
-NET "io_rx[3]"  LOC = "L18"  ;
-NET "io_rx[4]"  LOC = "L17"  ;
-NET "io_rx[5]"  LOC = "K22"  ;
-NET "io_rx[6]"  LOC = "K21"  ;
-NET "io_rx[7]"  LOC = "K20"  ;
-NET "io_rx[8]"  LOC = "G22"  ;
-NET "io_rx[9]"  LOC = "G21"  ;
-NET "io_rx[10]"  LOC = "F21"  ;
-NET "io_rx[11]"  LOC = "F20"  ;
-NET "io_rx[12]"  LOC = "G19"  ;
-NET "io_rx[13]"  LOC = "G18"  ;
-NET "io_rx[14]"  LOC = "G17"  ;
-NET "io_rx[15]"  LOC = "E22"  ;
+NET "leds[0]"  LOC = "A17"  ; 
+NET "leds[1]"  LOC = "B20"  ; 
+NET "leds[2]"  LOC = "D13"  ; 
+NET "leds[3]"  LOC = "A14"  ; 
+NET "leds[4]"  LOC = "W15"  ;
+NET "dipsw[0]"  LOC = "C11"  ;
+NET "dipsw[1]"  LOC = "F12"  ;
+NET "dipsw[2]"  LOC = "E17"  ;
+NET "dipsw[3]"  LOC = "E10"  ;
+NET "debug[0]"  LOC = "AB19"  ;
+NET "debug[1]"  LOC = "AA19"  ;
+NET "debug[2]"  LOC = "U14"  ;
+NET "debug[3]"  LOC = "U15"  ;
+NET "debug[4]"  LOC = "AB17"  ;
+NET "debug[5]"  LOC = "AB18"  ;
+NET "debug[6]"  LOC = "Y13"  ;
+NET "debug[7]"  LOC = "W14"  ;
+NET "debug[8]"  LOC = "U13"  ;
+NET "debug[9]"  LOC = "AA15"  ;
+NET "debug[10]"  LOC = "AB14"  ;
+NET "debug[11]"  LOC = "Y8"  ;
+NET "debug[12]"  LOC = "Y9"  ;
+NET "debug[13]"  LOC = "V7"  ;
+NET "debug[14]"  LOC = "U8"  ;
+NET "debug[15]"  LOC = "V10"  ;
+NET "debug[16]"  LOC = "U9"  ;
+NET "debug[17]"  LOC = "AB7"  ;
+NET "debug[18]"  LOC = "AA8"  ;
+NET "debug[19]"  LOC = "W8"  ;
+NET "debug[20]"  LOC = "V8"  ;
+NET "debug[21]"  LOC = "AB5"  ;
+NET "debug[22]"  LOC = "AB6"  ;
+NET "debug[23]"  LOC = "AB4"  ;
+NET "debug[24]"  LOC = "AA4"  ;
+NET "debug[25]"  LOC = "W5"  ;
+NET "debug[26]"  LOC = "Y4"  ;
+NET "debug[27]"  LOC = "V11"  ;
+NET "debug[28]"  LOC = "U10"  ;
+NET "debug[29]"  LOC = "AB10"  ;
+NET "debug[30]"  LOC = "AA10"  ;
+NET "debug[31]"  LOC = "Y5"  ;
+NET "debug_clk[0]"  LOC = "V16"  ;
+NET "debug_clk[1]"  LOC = "U16"  ;
+NET "uart_tx_o"  LOC = "C19"  ;
+NET "uart_rx_i"  LOC = "A20"  ;
+NET "exp_pps_in_p"  LOC = "AA17"  ; 
+NET "exp_pps_in_n"  LOC = "AB16"  ; 
+NET "exp_pps_out_p"  LOC = "Y18"  ; 
+NET "exp_pps_out_n"  LOC = "Y19"  ; 
+NET "GMII_COL"  LOC = "J19"  ; 
+NET "GMII_CRS"  LOC = "E22"  ; 
+NET "GMII_TXD[0]"  LOC = "F22"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
+NET "GMII_TXD[1]"  LOC = "G18"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
+NET "GMII_TXD[2]"  LOC = "G17"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
+NET "GMII_TXD[3]"  LOC = "E20"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
+NET "GMII_TXD[4]"  LOC = "F21"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
+NET "GMII_TXD[5]"  LOC = "E19"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
+NET "GMII_TXD[6]"  LOC = "D20"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
+NET "GMII_TXD[7]"  LOC = "D22"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ;
+NET "GMII_TX_EN"  LOC = "D21" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ; 
+NET "GMII_TX_ER"  LOC = "F19" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ; 
+NET "GMII_GTX_CLK"  LOC = "F18" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW 
= FAST ; 
+NET "GMII_TX_CLK"  LOC = "L20"  ; 
+NET "GMII_RXD[0]"  LOC = "K17"  ;
+NET "GMII_RXD[1]"  LOC = "L18"  ;
+NET "GMII_RXD[2]"  LOC = "J22"  ;
+NET "GMII_RXD[3]"  LOC = "J21"  ;
+NET "GMII_RXD[4]"  LOC = "G20"  ;
+NET "GMII_RXD[5]"  LOC = "H21"  ;
+NET "GMII_RXD[6]"  LOC = "C21"  ;
+NET "GMII_RXD[7]"  LOC = "C22"  ;
+NET "GMII_RX_CLK"  LOC = "L21"  ; 
+NET "GMII_RX_DV"  LOC = "G19"  ; 
+NET "GMII_RX_ER"  LOC = "F20"  ; 
+NET "MDIO"  LOC = "H22" | PULLUP ; 
+NET "MDC"  LOC = "G22"  ; 
+NET "PHY_INTn"  LOC = "H20"  ; 
+NET "PHY_RESETn"  LOC = "J17"  ; 
+NET "PHY_CLK"  LOC = "M18"  ; 
+NET "clk_to_mac"  LOC = "L17"  ; 
+NET "eth_led"  LOC = "K16"  ;
+NET "ser_enable"  LOC = "Y21"  ; 
+NET "ser_prbsen"  LOC = "U19"  ; 
+NET "ser_loopen"  LOC = "U18"  ; 
+NET "ser_rx_en"  LOC = "AA22"  ; 
+NET "ser_tx_clk"  LOC = "J20" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ; 
+NET "ser_t[0]"  LOC = "U20"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_t[1]"  LOC = "R18"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_t[2]"  LOC = "P19"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_t[3]"  LOC = "U22"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_t[4]"  LOC = "P16"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_t[5]"  LOC = "N17"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_t[6]"  LOC = "P22"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_t[7]"  LOC = "R22"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_t[8]"  LOC = "N19"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_t[9]"  LOC = "N20"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_t[10]"  LOC = "M22"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_t[11]"  LOC = "N22"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_t[12]"  LOC = "K22"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_t[13]"  LOC = "L22"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_t[14]"  LOC = "K18"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_t[15]"  LOC = "K19"  | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ;
+NET "ser_tklsb"  LOC = "K20" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ; 
+NET "ser_tkmsb"  LOC = "H18" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = 
FAST ; 
+NET "ser_rx_clk"  LOC = "N21"  ; 
+NET "ser_r[0]"  LOC = "T22"  ;
+NET "ser_r[1]"  LOC = "W20"  ;
+NET "ser_r[2]"  LOC = "W21"  ;
+NET "ser_r[3]"  LOC = "U21"  ;
+NET "ser_r[4]"  LOC = "V22"  ;
+NET "ser_r[5]"  LOC = "P17"  ;
+NET "ser_r[6]"  LOC = "R17"  ;
+NET "ser_r[7]"  LOC = "P20"  ;
+NET "ser_r[8]"  LOC = "R21"  ;
+NET "ser_r[9]"  LOC = "V20"  ;
+NET "ser_r[10]"  LOC = "W19"  ;
+NET "ser_r[11]"  LOC = "T17"  ;
+NET "ser_r[12]"  LOC = "T18"  ;
+NET "ser_r[13]"  LOC = "Y22"  ;
+NET "ser_r[14]"  LOC = "W22"  ;
+NET "ser_r[15]"  LOC = "R20"  ;
+NET "ser_rklsb"  LOC = "R19"  ;
+NET "ser_rkmsb"  LOC = "T20"  ; 
+NET "adc_a[0]"  LOC = "P3"  ;
+NET "adc_a[1]"  LOC = "N3"  ;
+NET "adc_a[2]"  LOC = "AA1"  ;
+NET "adc_a[3]"  LOC = "Y2"  ;
+NET "adc_a[4]"  LOC = "C5"  ;
+NET "adc_a[5]"  LOC = "E6"  ;
+NET "adc_a[6]"  LOC = "C7"  ;
+NET "adc_a[7]"  LOC = "E8"  ;
+NET "adc_a[8]"  LOC = "F8"  ;
+NET "adc_a[9]"  LOC = "A4"  ;
+NET "adc_a[10]"  LOC = "B4"  ;
+NET "adc_a[11]"  LOC = "C4"  ;
+NET "adc_a[12]"  LOC = "D5"  ;
+NET "adc_a[13]"  LOC = "A3"  ;
+NET "adc_ovf_a"  LOC = "B3"  ; 
+NET "adc_oen_a"  LOC = "A6"  ; 
+NET "adc_pdn_a"  LOC = "D7"  ; 
+NET "adc_b[0]"  LOC = "J1"  ;
+NET "adc_b[1]"  LOC = "M1"  ;
+NET "adc_b[2]"  LOC = "P4"  ;
+NET "adc_b[3]"  LOC = "E1"  ;
+NET "adc_b[4]"  LOC = "D1"  ;
+NET "adc_b[5]"  LOC = "D4"  ;
+NET "adc_b[6]"  LOC = "D3"  ;
+NET "adc_b[7]"  LOC = "J7"  ;
+NET "adc_b[8]"  LOC = "J6"  ;
+NET "adc_b[9]"  LOC = "J4"  ;
+NET "adc_b[10]"  LOC = "J3"  ;
+NET "adc_b[11]"  LOC = "N4"  ;
+NET "adc_b[12]"  LOC = "M3"  ;
+NET "adc_b[13]"  LOC = "U3"  ;
+NET "adc_ovf_b"  LOC = "T3"  ; 
+NET "adc_oen_b"  LOC = "B6"  ; 
+NET "adc_pdn_b"  LOC = "A5"  ; 
+NET "dac_a[0]"  LOC = "N5"  ;
+NET "dac_a[1]"  LOC = "N1"  ;
+NET "dac_a[2]"  LOC = "K2"  ;
+NET "dac_a[3]"  LOC = "K3"  ;
+NET "dac_a[4]"  LOC = "K6"  ;
+NET "dac_a[5]"  LOC = "L5"  ;
+NET "dac_a[6]"  LOC = "H2"  ;
+NET "dac_a[7]"  LOC = "K4"  ;
+NET "dac_a[8]"  LOC = "K5"  ;
+NET "dac_a[9]"  LOC = "G1"  ;
+NET "dac_a[10]"  LOC = "H1"  ;
+NET "dac_a[11]"  LOC = "H5"  ;
+NET "dac_a[12]"  LOC = "H6"  ;
+NET "dac_a[13]"  LOC = "E3"  ;
+NET "dac_a[14]"  LOC = "E4"  ;
+NET "dac_a[15]"  LOC = "G5"  ;
+NET "dac_b[0]"  LOC = "G6"  ;
+NET "dac_b[1]"  LOC = "F2"  ;
+NET "dac_b[2]"  LOC = "F1"  ;
+NET "dac_b[3]"  LOC = "H3"  ;
+NET "dac_b[4]"  LOC = "H4"  ;
+NET "dac_b[5]"  LOC = "F4"  ;
+NET "dac_b[6]"  LOC = "F5"  ;
+NET "dac_b[7]"  LOC = "C2"  ;
+NET "dac_b[8]"  LOC = "C1"  ;
+NET "dac_b[9]"  LOC = "F3"  ;
+NET "dac_b[10]"  LOC = "G3"  ;
+NET "dac_b[11]"  LOC = "M6"  ;
+NET "dac_b[12]"  LOC = "N7"  ;
+NET "dac_b[13]"  LOC = "L3"  ;
+NET "dac_b[14]"  LOC = "M2"  ;
+NET "dac_b[15]"  LOC = "K1"  ;
+NET "dac_lock"  LOC = "L1"  ;
+NET "SCL"  LOC = "B19"  ; 
+NET "SDA"  LOC = "B17"  ; 
+NET "clk_en[0]"  LOC = "AB20"  ;
+NET "clk_en[1]"  LOC = "AA20"  ;
+NET "clk_sel[0]"  LOC = "Y17"  ;
+NET "clk_sel[1]"  LOC = "Y16"  ;
+NET "clk_func"  LOC = "W13"  ; 
+NET "clk_status"  LOC = "W18"  ;
+NET "clk_fpga_p"  LOC = "AA12"  ; 
+NET "clk_fpga_n"  LOC = "AB12"  ; 
+NET "pps_in"  LOC = "Y14"  ; 
+NET "POR"  LOC = "AB15"  ;
+NET "sclk"  LOC = "AA14"  ; 
+NET "sen_clk"  LOC = "AB13"  ; 
+NET "sdi"  LOC = "V12"  ; 
+NET "sdo"  LOC = "U12"  ; 
+NET "sen_dac"  LOC = "W2"  ; 
+NET "sen_tx_db"  LOC = "W3"  ; 
+NET "sen_tx_adc"  LOC = "U5"  ; 
+NET "sen_tx_dac"  LOC = "U4"  ; 
+NET "mosi_tx"  LOC = "V4"  ;
+NET "miso_dac"  LOC = "M5"  ;
+NET "miso_tx_db"  LOC = "W1"  ;
+NET "miso_tx_adc"  LOC = "Y1"  ;
+NET "sclk_tx"  LOC = "V3"  ;
+NET "sen_rx_db"  LOC = "B9"  ; 
+NET "sclk_rx_db"  LOC = "B8"  ; 
+NET "sdo_rx_db"  LOC = "A10"  ; 
+NET "sdi_rx_db"  LOC = "E12"  ; 
+NET "sen_rx_adc"  LOC = "A9"  ; 
+NET "sclk_rx_adc"  LOC = "A8"  ; 
+NET "sdo_rx_adc"  LOC = "A12"  ; 
+NET "sdi_rx_adc"  LOC = "A7"  ; 
+NET "sen_rx_dac"  LOC = "E11"  ; 
+NET "sclk_rx_dac"  LOC = "F10"  ; 
+NET "sdi_rx_dac"  LOC = "E7"  ; 
+NET "io_tx[0]"  LOC = "R3"  ;
+NET "io_tx[1]"  LOC = "T4"  ;
+NET "io_tx[2]"  LOC = "U2"  ;
+NET "io_tx[3]"  LOC = "V1"  ;
+NET "io_tx[4]"  LOC = "R5"  ;
+NET "io_tx[5]"  LOC = "T1"  ;
+NET "io_tx[6]"  LOC = "U1"  ;
+NET "io_tx[7]"  LOC = "T6"  ;
+NET "io_tx[8]"  LOC = "T5"  ;
+NET "io_tx[9]"  LOC = "R2"  ;
+NET "io_tx[10]"  LOC = "R1"  ;
+NET "io_tx[11]"  LOC = "P6"  ;
+NET "io_tx[12]"  LOC = "R6"  ;
+NET "io_tx[13]"  LOC = "P1"  ;
+NET "io_tx[14]"  LOC = "P2"  ;
+NET "io_tx[15]"  LOC = "N6"  ;
 
+NET "io_rx[0]"  LOC = "G8"  ;
+NET "io_rx[1]"  LOC = "F9"  ;
+NET "io_rx[2]"  LOC = "C8"  ;
+NET "io_rx[3]"  LOC = "D9"  ;
+NET "io_rx[4]"  LOC = "C6"  ;
+NET "io_rx[5]"  LOC = "D6"  ;
+NET "io_rx[6]"  LOC = "C9"  ;
+NET "io_rx[7]"  LOC = "D10"  ;
+NET "io_rx[8]"  LOC = "B11"  ;
+NET "io_rx[9]"  LOC = "A11"  ;
+NET "io_rx[10]"  LOC = "C13"  ;
+NET "io_rx[11]"  LOC = "C12"  ;
+NET "io_rx[12]"  LOC = "F14"  ;
+NET "io_rx[13]"  LOC = "F13"  ;
+NET "io_rx[14]"  LOC = "D14"  ;
+NET "io_rx[15]"  LOC = "A13"  ;
+NET "flash_cs"  LOC = "U7"  ;
+NET "flash_clk"  LOC = "V17"  ;
+NET "flash_mosi"  LOC = "V13"  ;
+NET "flash_miso"  LOC = "W17"  ;
 
+
 NET "clk_muxed" TNM_NET = "clk_muxed";
 TIMESPEC "TS_clk_muxed" = PERIOD "clk_muxed" 10 ns HIGH 50 %;
 
@@ -321,7 +278,3 @@
 NET "ser_rx_clk" TNM_NET = "ser_rx_clk";
 TIMESPEC "TS_ser_rx_clk" = PERIOD "ser_rx_clk" 10 ns HIGH 50 %;
 
-
-NET "GMII_RX_CLK" CLOCK_DEDICATED_ROUTE = FALSE; 
-NET "cpld_clk" CLOCK_DEDICATED_ROUTE = FALSE;
-NET "PHY_CLK" CLOCK_DEDICATED_ROUTE = FALSE;

Modified: usrp2/trunk/fpga/top/u2plus/u2plus.v
===================================================================
--- usrp2/trunk/fpga/top/u2plus/u2plus.v        2008-04-21 18:32:47 UTC (rev 
8235)
+++ usrp2/trunk/fpga/top/u2plus/u2plus.v        2008-04-21 21:19:18 UTC (rev 
8236)
@@ -4,7 +4,8 @@
 module u2plus
   (
    // Misc, debug
-   output [4:0] leds,
+   output [4:0] leds,  // LED4 is shared w/INIT_B
+   input [3:0] dipsw,
    output [31:0] debug,
    output [1:0] debug_clk,
    output uart_tx_o,
@@ -40,16 +41,8 @@
    input PHY_INTn,   // open drain
    output PHY_RESETn,
    input PHY_CLK,   // possibly use on-board osc
-
-   // RAM
-   inout [17:0] RAM_D,
-   output [18:0] RAM_A,
-   output RAM_CE1n,
-   output RAM_CENn,
-   output RAM_CLK,
-   output RAM_WEn,
-   output RAM_OEn,
-   output RAM_LDn,
+   input clk_to_mac,
+   output eth_led,
    
    // SERDES
    output ser_enable,
@@ -67,16 +60,6 @@
    input ser_rklsb,
    input ser_rkmsb,
    
-   // CPLD interface
-   output cpld_start,  // AA9
-   output cpld_mode,   // U12
-   output cpld_done,   // V12
-   input cpld_din,     // AA14 Now shared with CFG_Din
-   input cpld_clk,     // AB14 serial clock
-   input cpld_detached,// V11 unused
-   input cpld_init_b,  // W12 unused dual purpose
-   input cpld_misc,  // Y12 unused
-   
    // ADC
    input [13:0] adc_a,
    input adc_ovf_a,
@@ -106,34 +89,27 @@
    // Clocks
    input clk_fpga_p,  // Diff
    input clk_fpga_n,  // Diff
-   input clk_to_mac,
    input pps_in,
+   input POR,
    
-   // Generic SPI
+   // AD9510 SPI
    output sclk,
    output sen_clk,
-   output sen_dac,
    output sdi,
    input sdo,
-   
-   // TX DBoard
-   output sen_tx_db,
-   output sclk_tx_db,
-   input sdo_tx_db,
-   output sdi_tx_db,
 
+   // TX side SPI -- tx_db, tx_adc, tx_dac, 9777
+   output sen_dac,
+   output sen_tx_db,
    output sen_tx_adc,
-   output sclk_tx_adc,
-   input sdo_tx_adc,
-   output sdi_tx_adc,
-
    output sen_tx_dac,
-   output sclk_tx_dac,
-   output sdi_tx_dac,
+   output mosi_tx,
+   input miso_dac,
+   input miso_tx_db,
+   input miso_tx_adc,
+   output sclk_tx,
 
-   inout [15:0] io_tx,
-
-   // RX DBoard
+   // RX side SPI
    output sen_rx_db,
    output sclk_rx_db,
    input sdo_rx_db,
@@ -147,8 +123,16 @@
    output sen_rx_dac,
    output sclk_rx_dac,
    output sdi_rx_dac,
-   
-   inout [15:0] io_rx   
+
+   // DB IO Pins
+   inout [15:0] io_tx,
+   inout [15:0] io_rx,
+
+   // SPI Flash
+   output flash_cs,
+   output flash_clk,
+   output flash_mosi,
+   input flash_miso
    );
 
    // FPGA-specific pins connections





reply via email to

[Prev in Thread] Current Thread [Next in Thread]