commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] git://gnuradio.org/jcorgan-uhd branch, gpio, updated.


From: git version control
Subject: [Commit-gnuradio] git://gnuradio.org/jcorgan-uhd branch, gpio, updated. 4e56cc60a5fc15d56bf51f7ce87eb7ae1a9e255b
Date: Mon, 23 Jan 2012 17:26:49 +0000 (UTC)

This is an automated email from the git hooks/post-receive script. It was
generated because a ref change was pushed to the repository containing
the project "git://gnuradio.org/jcorgan-uhd".

The branch, gpio has been updated
       via  4e56cc60a5fc15d56bf51f7ce87eb7ae1a9e255b (commit)
       via  480f30b00eb074580460f16ec99a3905dc01f0d7 (commit)
       via  b433c25b1934fd2de60b6aa394eb741daa997649 (commit)
       via  f3654090d9df5c1437e128ae4a13617d069f586d (commit)
       via  6c1fd6e2d96484027751dc853a609f4e6946bd32 (commit)
       via  ae0b0700b4037f860d7d62f30edfc8a9933734be (commit)
       via  7dc76db5737943fa24a0321dd7a4ace36db6c2c6 (commit)
       via  04da3ec10aee9b04dbc34cb10c3adf026adf03c7 (commit)
       via  40c637bfb723be607800f67a3115aa1eee31f9d7 (commit)
       via  07c9d41014e7e8003b2e642c0e6b7c3ac5ad6cfb (commit)
       via  4f4032573b4ac1c7f9a0cff2ecdd49bf51c4b4d8 (commit)
       via  322fb97547c5407a58d126d98c79d40e153aa7ff (commit)
       via  6b46d2ce6e2038e57b1ea23df4d37edee6f58ccc (commit)
       via  5574e1ec084bf6bc9b18e0c63880fbff96301396 (commit)
       via  5f520de104cd5ee5ebc42d4e88dc606720953d49 (commit)
       via  06d0032772a24175f76d82e460932023acaaec2e (commit)
       via  71810ad1fc9a3612b4673a674e64be5782681c18 (commit)
       via  2bb08f3f70cdf8c7184f4f6b5dcf03b3b20449d5 (commit)
       via  dac9a5001a0cdb2f03241025fc61cca7baeb3787 (commit)
       via  1a25e4867b395a35b718effe9d89dd217ff71941 (commit)
       via  e417d2f2f2a4e5ead7325cc38b04a5dc8cd4fa88 (commit)
       via  65b6acc1ac877849eb6cbe1a654562ca22e42c07 (commit)
       via  95568c8b30490f630a72b665b135c46549ee5882 (commit)
       via  075e6a9788856d6951df42349afef7816852ca68 (commit)
       via  18abd4dbbf29ec9372bdd2ee83288fc94c20534c (commit)
       via  f68a9271f448a8c8878e2f0fb03b801f345287b3 (commit)
       via  317bff29a5358c7bfc576359ab5a3b3fcf30ad10 (commit)
       via  eb11b05298dde8df750e903fe7d791050666278d (commit)
       via  5efb340050f457d7743a80b350c366b27c05fd61 (commit)
       via  aed619727e47bf2353164ac1788a6e3479b2fe16 (commit)
       via  3738641290f6cbff8fae2c2a4d10ba48469c17eb (commit)
       via  ff2e730a0dc7f67241942143c120e02a838f932e (commit)
       via  5a77062d33ff675e8f395a8a871e8e6632a204a0 (commit)
       via  986f6f8d0b14c4e56468c1c8887fadb2dc4e1463 (commit)
       via  7a1bd6135ad7201f4a23ee71d929ae15de26a103 (commit)
       via  cfa3f8283b66126056029f71e574700ba649d4e1 (commit)
       via  ff49029e395e5c78c7ad76e9ff2d7370e5503e7f (commit)
       via  4c5db96c36a3f1e245d6a42d09f612b9c016709c (commit)
       via  f31afff6dd03ee500ba48e81e0caf26bc61e430d (commit)
       via  dd782308940e4b206e798eb2f0fa203b6e8c7f07 (commit)
       via  e108294eed585aa8602631fe90fea4cf7b5e23c2 (commit)
       via  b23126d3a0fca7d56a933e7f2f0011a9d625b006 (commit)
       via  88e02e0d55f7a80180402c7c86132d22a43ec551 (commit)
       via  3e4f64188bec333d8884a3849ec2377165b0017c (commit)
       via  74106084ed94668f11777f856e9b42e80b5d6599 (commit)
       via  4b9d692f56dbc96b7e2defb889ed092388eeb332 (commit)
       via  b0834fff86180d05469ffe1fd91d6c1e483edfa9 (commit)
       via  8459432067055759bd1d9b04f0e7943f1cf35d2d (commit)
       via  3cb60c974fff6779396294fd456904d1f6addd71 (commit)
       via  52b552f43bc1925ce27b4f49dff1669cb019ecfc (commit)
       via  f152764e4bed5491b97ecf5955f5464731a0ccb5 (commit)
       via  c29adad91e1987da63b11716156b86d237cfda82 (commit)
       via  bb2259e05f03ddffe7b789c4aa16d86e4cfa575c (commit)
       via  6f1f5f5fa2d044e4017be27ad5c1f67adbcea3f7 (commit)
       via  db5eb3828416c091b45a1d90522e2bede730f38a (commit)
       via  0ea2683862fedf27d23ee2ad23e119edc1bf610c (commit)
       via  7749b0f0651733aa5962d5b577f483d37d125e24 (commit)
       via  e219ad10a6e86cd4edc748f2218e01a9890e108c (commit)
       via  11f1390bbde65c60f45962acb128cac1ce21e474 (commit)
       via  902818f50bbd486138a7d4cd2ce9ba3661f4a732 (commit)
       via  9d4350d74ea926999780ded0016c5ad51874ebec (commit)
       via  d28e3ac765273d7684386c1985802000d22eeea6 (commit)
       via  f1434d7c52728cbf80e954b4c9414a94eec4c9ce (commit)
       via  7c503ad1fab5fd6218847b1d030881b4d048379f (commit)
       via  f13e6effb973bcd35896f58af952f6192faae252 (commit)
       via  45d7e5105e393a7c62bb2df40521fccb834e0110 (commit)
       via  3c07f1d606296c0a6813a3a577e918926e43c478 (commit)
       via  8e398397ed55ae4df014902352c66429fda2e2c0 (commit)
       via  aa0513375eb60a594f323b82d6f06c7b69c574fc (commit)
       via  a0e9612f7a32c370f26d1b2db2eee7e692a8f8e9 (commit)
       via  8a8dfe41f26ee276e0aded016a6e7bc8e7010a77 (commit)
       via  a445f30003c415843a779fd40e67eeb670ab5d29 (commit)
       via  ef256d2f6c14006ba27eaf2ba1a7fba3a828420a (commit)
       via  d9035414a27f484b89816e6e99c7f14d1769dee0 (commit)
       via  8ff8f206d317e8d9c026fef9228a80edc241f9d4 (commit)
       via  f3afd2eb94a65b4e6494c269ff67cd283dcad1de (commit)
       via  bcb80c5cf9a2117f9d6d22b8e793ea2ecb68ed1f (commit)
       via  8bb81824ea3544352cf528cbd67efd04bde681c9 (commit)
       via  32464690523cbcdfca0c5d683d9f4a8044bd4104 (commit)
       via  aab82ad7470a68503f44645ca18d5b4686c784e1 (commit)
       via  b33b611d0600437c89f27a93077b8966416b1e9f (commit)
       via  ca02bf0358677043fb5d594a0f12413ba242c892 (commit)
       via  9c598203327943b6ec042b031849ec6d7d907479 (commit)
       via  8ae4113fb0666490bf7a0bfce89009012d88b96b (commit)
       via  9fcc5fe50299dbc7583fb13bb5385685282a9976 (commit)
       via  8252763cc855ba694a9dbb6646931c53d9c47ad8 (commit)
       via  057bb60bd132a7347f5c45afdeec57d4fcd3f1a3 (commit)
       via  0e7c8c388a5dcbd70631526b42e08178300b93c4 (commit)
       via  69adaee902c5f409ebd7844667a0c253d7a06c6a (commit)
       via  07fb8d2b82c59ddaf7722b12db8c1387011fb34b (commit)
       via  5cdbf5b36969e12b93e720f67102dd4ab246b074 (commit)
       via  fbc5b54f49eec3f27e5a351d27260783898b0bea (commit)
       via  3a9edd27d6976456ea9cf4565590d4dd5bee809c (commit)
       via  dedfa65256470f31a20c99a210457937d3f36056 (commit)
       via  7f815e124f217f37eb5e612a34ec84c33043aa87 (commit)
       via  8e439ac16137c649b2a296967efe86d346f3968a (commit)
       via  a62645089202ac2ac39a55c48d3876474b54223e (commit)
       via  bd08f403e3936d9c35b04f8990605dd49310fbfd (commit)
       via  3d3c77983b917fefc7a3e59669a43e440ba4f688 (commit)
       via  c63a38e1f9b383663e5bb52a1ae35b54bbc0104e (commit)
       via  f11d64579a7c1421cc2b0f04c85f0c0e82b6a74e (commit)
       via  07ba94643ed2cfeed91542e3c1fc59cbdb39a932 (commit)
       via  ae9e89d76b2eb86a29995f04aaab1aa59ee93f04 (commit)
       via  fe204a322ecbba4dd8b195987667651f0a1b7c80 (commit)
       via  55d074fdd1fdada732b9e1b477d2f43948243ee1 (commit)
       via  929571756901c96a984bbb4e415478ac3deb1784 (commit)
       via  00b6d8359de6ea616f7bd6573d7f87d635d78a79 (commit)
       via  522bc87d9f61668f3d22e067c39a98e5f33fc6c5 (commit)
       via  9369259177e5517e2b0e775804224c5467e14eab (commit)
       via  c885da11389ee275f9c5206b9f8a2155a5393a8a (commit)
       via  9fc513c961775c9682123394fe5d42ef914bbbd6 (commit)
       via  ba0323c616e032ebde12073521c754d93c65f821 (commit)
       via  5538048873f140f3ac2bbab12dc5b0729f68f1a5 (commit)
       via  fce49fd66f577b92482af6ad516944befa31b861 (commit)
       via  0946176f513dd58407869e3b019207ff3eef24f5 (commit)
       via  861e66848f05001fcaca4fe91dbf67cd186649dc (commit)
       via  65fb4d225204b4ee2b7c73fc0ec393cfff9d6149 (commit)
       via  4c4f0810ef06be18e989b6933ff236ff97c13dd0 (commit)
       via  de17ef4614c3c14212f239e3c735bfde3f47a68f (commit)
       via  fac15db5d77c5196badb4a06f2f5fec34eb57337 (commit)
       via  fa6d1380918e655a66cd209d7be3b0b31c61e907 (commit)
       via  371c555ed45aa14747a5701913bd5af97e970d83 (commit)
       via  e4561a18cd4bbcf8a20799704c42bfb4c2543dc2 (commit)
       via  a629bbe7e3c39a10bdc3a981f6badb85a436b443 (commit)
       via  e89b20da1511f02d887435d093d8efef3e4df261 (commit)
       via  fbe2aefe299a4d3ddf8cceb0eb5866136f48744d (commit)
       via  66c95c12a1d2f7b79bdf5b9b871d1b957c56606f (commit)
       via  abed04b3c6d70c260d8725831b8aa6e944f52749 (commit)
       via  6c13ef7855dcfe9c825e819f69f219851387da25 (commit)
       via  839b9379d436adc1a6b0f876d0d03f11e41dbee6 (commit)
       via  75b7967fac3ec72fb35c542da23491215d53a8bb (commit)
       via  c480414fea7948dedd9428f545dfff90f4c8f2c8 (commit)
       via  8c182d75e7adc20ff12cbc1065debd8dd4f2ef9d (commit)
       via  bf5aba2dc1b32c8eb0d016e98f942fb7119fdfde (commit)
       via  c086dd883e5c318dcdf8e654128abf671da90ec0 (commit)
       via  5465620c629bfe3db742fe35b281a3776ddef86b (commit)
       via  c75d7049df389b99661030b7d0d50d5dceaa2b66 (commit)
       via  055e6f92a0efabc3159465ec00aa7e8cc29112c4 (commit)
       via  305bb01cf79c9102c47351f9e0c1135e589fc5c1 (commit)
       via  195cbeb81a7f53e92bb66053cd33aa1fe5c98157 (commit)
       via  35b4a76effc67696aeadaf870ce446e3530a9151 (commit)
       via  52c2287981f622a9e01aaed3028fd32d33b5be59 (commit)
       via  e1889d5e86b48156904b5f295644ed417064890f (commit)
       via  7980ee5c19330ec3d02a5c97294511c88ba263f8 (commit)
       via  59c4a2bfc2fc4d9f6f98628b28f4eff6151d6844 (commit)
       via  6e2c70c914ad8d454ad575257ed16cbd8f59c052 (commit)
       via  9ac4cb33c830c626311817fb43c210070f518d11 (commit)
       via  dd54bf0909025396986db2c045b0f1da2c4df657 (commit)
       via  406345b14c745c0c3e7ac7c47ce6e893c61e357e (commit)
       via  550e32bd1ef550a7b90e9aec4baa6a10fb247176 (commit)
       via  80ec54d3f39536461c09c960c296e7c02c418310 (commit)
       via  f4c61186f6a81a09a038cef500d07d4ca5e65a57 (commit)
       via  c215afef149acf35cca87d1a5053d2c48957652c (commit)
       via  e21b2852a7d3eb46ba564aad3883934b7a8dd8e5 (commit)
      from  ab480c7ac32f650b7c59130ec1fadb5e230adc51 (commit)

Those revisions listed above that are new to this repository have
not appeared on any other notification email; so we list those
revisions in full, below.

- Log -----------------------------------------------------------------
commit 4e56cc60a5fc15d56bf51f7ce87eb7ae1a9e255b
Author: Johnathan Corgan <address@hidden>
Date:   Mon Jan 23 09:25:11 2012 -0800

    fpga: cleanup to create merge candidate

commit 480f30b00eb074580460f16ec99a3905dc01f0d7
Merge: ab480c7 b433c25
Author: Johnathan Corgan <address@hidden>
Date:   Sun Jan 22 14:03:57 2012 -0800

    Merge branch 'master' into gpio
    
    Conflicts:
        host/cmake/Modules/UHDVersion.cmake
        host/examples/tx_waveforms.cpp
        host/lib/usrp/usrp2/io_impl.cpp

commit b433c25b1934fd2de60b6aa394eb741daa997649
Author: Josh Blum <address@hidden>
Date:   Mon Dec 12 10:39:03 2011 -0800

    uhd: correct images docs for ZPU support

commit f3654090d9df5c1437e128ae4a13617d069f586d
Author: Ben Hilburn <address@hidden>
Date:   Mon Nov 21 15:42:18 2011 -0800

    UHD will now print 'L' whenever a late packet is transmitted.
    
    This is similiar to printing 'U' and 'S'. This functionality is not yet
    supported on the USRP1.

commit 6c1fd6e2d96484027751dc853a609f4e6946bd32
Author: Josh Blum <address@hidden>
Date:   Mon Dec 12 10:28:27 2011 -0800

    uhd: some more notes on calibration utils

commit ae0b0700b4037f860d7d62f30edfc8a9933734be
Author: Josh Blum <address@hidden>
Date:   Fri Dec 9 15:43:09 2011 -0800

    uhd: added wireformat to samples to file

commit 7dc76db5737943fa24a0321dd7a4ace36db6c2c6
Author: Josh Blum <address@hidden>
Date:   Mon Dec 5 13:32:02 2011 -0800

    usrp: rx dsp move init code into clear (like tx)

commit 04da3ec10aee9b04dbc34cb10c3adf026adf03c7
Author: Jason Abele <address@hidden>
Date:   Mon Dec 5 12:06:58 2011 -0800

    Make TX disable mixer when idle to avoid LO leakage

commit 40c637bfb723be607800f67a3115aa1eee31f9d7
Author: Josh Blum <address@hidden>
Date:   Mon Dec 5 13:04:23 2011 -0800

    uhd: work with stream clearing
    
    dont clear when using the compat device API
    
    tx clear also resets expected seqnum
    
    tx clear on usrp2 resets flow control monitor

commit 07c9d41014e7e8003b2e642c0e6b7c3ac5ad6cfb
Merge: 4f40325 322fb97
Author: Josh Blum <address@hidden>
Date:   Thu Dec 1 09:25:15 2011 -0500

    Merge branch 'uhd_master'

commit 4f4032573b4ac1c7f9a0cff2ecdd49bf51c4b4d8
Author: Josh Blum <address@hidden>
Date:   Wed Nov 30 05:18:32 2011 -0800

    usrp1: set scale factor after setting converter

commit 322fb97547c5407a58d126d98c79d40e153aa7ff
Merge: 6b46d2c 5f520de
Author: Josh Blum <address@hidden>
Date:   Tue Nov 29 06:07:33 2011 -0800

    Merge branch 'fpga_master' into uhd_master

commit 6b46d2ce6e2038e57b1ea23df4d37edee6f58ccc
Author: Jason Abele <address@hidden>
Date:   Mon Nov 28 18:52:36 2011 -0800

    rx_samples_to_file is not streaming the correct nsamps
    
    "innovations" in rx_streamer cause it to clear stream commands
    move stream command to be issued after rx_streamer is created

commit 5574e1ec084bf6bc9b18e0c63880fbff96301396
Author: Josh Blum <address@hidden>
Date:   Fri Nov 25 10:41:51 2011 -0500

    uhd: fix so MSVC client apps dont rely on min/max

commit 5f520de104cd5ee5ebc42d4e88dc606720953d49
Author: Josh Blum <address@hidden>
Date:   Wed Nov 23 20:02:37 2011 -0800

    usrp2/nseries: restored clock/serdes readback

commit 06d0032772a24175f76d82e460932023acaaec2e
Author: Josh Blum <address@hidden>
Date:   Wed Nov 23 17:47:44 2011 -0800

    uhd: tweaks to calibration utilities

commit 71810ad1fc9a3612b4673a674e64be5782681c18
Author: Josh Blum <address@hidden>
Date:   Tue Nov 22 17:33:12 2011 -0800

    b100: tweaks for fpga resets on init

commit 2bb08f3f70cdf8c7184f4f6b5dcf03b3b20449d5
Author: Josh Blum <address@hidden>
Date:   Mon Nov 21 16:21:03 2011 -0800

    dbsrx: set initial freq and bw filter after clocks enabled

commit dac9a5001a0cdb2f03241025fc61cca7baeb3787
Author: Josh Blum <address@hidden>
Date:   Mon Nov 21 09:55:55 2011 -0800

    usrp: clear dsp when making new streamer

commit 1a25e4867b395a35b718effe9d89dd217ff71941
Author: Josh Blum <address@hidden>
Date:   Sun Nov 20 20:26:38 2011 -0800

    basic: fix basic db center freq to always zero

commit e417d2f2f2a4e5ead7325cc38b04a5dc8cd4fa88
Author: Josh Blum <address@hidden>
Date:   Fri Nov 18 10:15:12 2011 -0800

    uhd: fixed mboard detection checks to the cal utils

commit 65b6acc1ac877849eb6cbe1a654562ca22e42c07
Merge: eb11b05 95568c8
Author: Josh Blum <address@hidden>
Date:   Wed Nov 16 10:36:59 2011 -0800

    Merge branch 'calibration'

commit 95568c8b30490f630a72b665b135c46549ee5882
Author: Josh Blum <address@hidden>
Date:   Wed Nov 16 06:39:31 2011 +0000

    e100: added self-cal support with minor speedups

commit 075e6a9788856d6951df42349afef7816852ca68
Author: Josh Blum <address@hidden>
Date:   Tue Nov 15 17:16:31 2011 -0800

    uhd: more common code in cal utils

commit 18abd4dbbf29ec9372bdd2ee83288fc94c20534c
Author: Josh Blum <address@hidden>
Date:   Tue Nov 15 16:22:18 2011 -0800

    uhd: support for applying cal corrections B100

commit f68a9271f448a8c8878e2f0fb03b801f345287b3
Author: Josh Blum <address@hidden>
Date:   Tue Nov 15 15:27:20 2011 -0800

    uhd: added calibration usage app notes and renamed apps again

commit 317bff29a5358c7bfc576359ab5a3b3fcf30ad10
Author: Josh Blum <address@hidden>
Date:   Tue Nov 15 14:54:18 2011 -0800

    uhd: added freq options and common gain setter

commit eb11b05298dde8df750e903fe7d791050666278d
Author: Josh Blum <address@hidden>
Date:   Tue Nov 15 09:32:05 2011 -0800

    convert: added generic conversion for sc8 wire -> sc8 host

commit 5efb340050f457d7743a80b350c366b27c05fd61
Merge: 3738641 aed6197
Author: Josh Blum <address@hidden>
Date:   Mon Nov 14 22:20:23 2011 -0800

    Merge branch 'convert_work'

commit aed619727e47bf2353164ac1788a6e3479b2fe16
Author: Josh Blum <address@hidden>
Date:   Tue Nov 15 06:12:28 2011 +0000

    convert: move priorities to implementation, different for arm

commit 3738641290f6cbff8fae2c2a4d10ba48469c17eb
Author: Josh Blum <address@hidden>
Date:   Mon Nov 14 21:48:13 2011 -0800

    uhd: work on doxygen comments for stream args

commit ff2e730a0dc7f67241942143c120e02a838f932e
Author: Josh Blum <address@hidden>
Date:   Mon Nov 14 17:32:46 2011 -0800

    uhd: different interp methods for IQ vs DC

commit 5a77062d33ff675e8f395a8a871e8e6632a204a0
Author: Josh Blum <address@hidden>
Date:   Mon Nov 14 15:58:49 2011 -0800

    uhd: added tx dc offset calibration + tweaks

commit 986f6f8d0b14c4e56468c1c8887fadb2dc4e1463
Author: Josh Blum <address@hidden>
Date:   Sun Nov 13 17:22:49 2011 -0800

    convert: msvc warning fixes for sc8 table gen

commit 7a1bd6135ad7201f4a23ee71d929ae15de26a103
Author: Josh Blum <address@hidden>
Date:   Sun Nov 13 17:11:15 2011 -0800

    uhd: fixed sc8 table conversion, and simplified shifts

commit cfa3f8283b66126056029f71e574700ba649d4e1
Author: Josh Blum <address@hidden>
Date:   Sun Nov 13 16:44:01 2011 -0800

    usrp: fixed default initialization of iq bal correction

commit ff49029e395e5c78c7ad76e9ff2d7370e5503e7f
Author: Josh Blum <address@hidden>
Date:   Sat Nov 12 17:13:13 2011 -0800

    convert: added table conversion routines for sc8

commit 4c5db96c36a3f1e245d6a42d09f612b9c016709c
Author: Josh Blum <address@hidden>
Date:   Sat Nov 12 16:52:03 2011 -0800

    convert: simplify table conversion with templates

commit f31afff6dd03ee500ba48e81e0caf26bc61e430d
Author: Josh Blum <address@hidden>
Date:   Sat Nov 12 16:33:26 2011 -0800

    convert: added table conversion routine for sc16 to floats

commit dd782308940e4b206e798eb2f0fa203b6e8c7f07
Author: Josh Blum <address@hidden>
Date:   Sat Nov 12 15:45:52 2011 -0800

    convert: made conversion functions into classes so they can keep state

commit e108294eed585aa8602631fe90fea4cf7b5e23c2
Author: Josh Blum <address@hidden>
Date:   Fri Nov 11 18:41:05 2011 -0800

    uhd: share more common code in cal utils

commit b23126d3a0fca7d56a933e7f2f0011a9d625b006
Merge: 88e02e0 52b552f
Author: Josh Blum <address@hidden>
Date:   Fri Nov 11 17:53:04 2011 -0800

    Merge branch 'fpga_cal_work' into calibration

commit 88e02e0d55f7a80180402c7c86132d22a43ec551
Author: Josh Blum <address@hidden>
Date:   Fri Nov 11 16:06:50 2011 -0800

    uhd: created rx IQ imbalance app to parallel tx

commit 3e4f64188bec333d8884a3849ec2377165b0017c
Author: Josh Blum <address@hidden>
Date:   Fri Nov 11 12:28:08 2011 -0800

    uhd: lots of tweaks for calibration utility

commit 74106084ed94668f11777f856e9b42e80b5d6599
Author: Josh Blum <address@hidden>
Date:   Fri Nov 11 11:55:04 2011 -0800

    uhd: simplification for tx waveforms

commit 4b9d692f56dbc96b7e2defb889ed092388eeb332
Author: Jason Abele <address@hidden>
Date:   Fri Nov 11 10:22:50 2011 -0800

    Updates to accommodate loopback calibration mode
    
        Added CAL antenna to WBX, SBX and RFX

commit b0834fff86180d05469ffe1fd91d6c1e483edfa9
Author: Josh Blum <address@hidden>
Date:   Fri Nov 11 09:41:15 2011 -0800

    uhd: convert should use register_bytes_per_item

commit 8459432067055759bd1d9b04f0e7943f1cf35d2d
Author: Josh Blum <address@hidden>
Date:   Thu Nov 10 20:22:54 2011 -0800

    usrp: basically working iq cal on tx

commit 3cb60c974fff6779396294fd456904d1f6addd71
Author: Josh Blum <address@hidden>
Date:   Thu Nov 10 17:29:18 2011 -0800

    uhd: basically usable cal sweep for wbx

commit 52b552f43bc1925ce27b4f49dff1669cb019ecfc
Author: Josh Blum <address@hidden>
Date:   Thu Nov 10 17:28:11 2011 -0800

    need more umph out of correction values

commit f152764e4bed5491b97ecf5955f5464731a0ccb5
Author: Josh Blum <address@hidden>
Date:   Thu Nov 10 10:27:54 2011 -0800

    uhd: updated ref sensor checks for new option names

commit c29adad91e1987da63b11716156b86d237cfda82
Author: Josh Blum <address@hidden>
Date:   Thu Nov 10 10:23:05 2011 -0800

    uhd: performance improvement for tx waveforms using integer table lookup

commit bb2259e05f03ddffe7b789c4aa16d86e4cfa575c
Author: Josh Blum <address@hidden>
Date:   Wed Nov 9 18:27:06 2011 -0800

    uhd: first stab at calibration app

commit 6f1f5f5fa2d044e4017be27ad5c1f67adbcea3f7
Author: Josh Blum <address@hidden>
Date:   Tue Nov 8 12:27:37 2011 -0800

    usrp: added missing include for weak ptr

commit db5eb3828416c091b45a1d90522e2bede730f38a
Author: Josh Blum <address@hidden>
Date:   Tue Nov 8 11:29:45 2011 -0800

    usrp1: fix typo when calculating rx_dc_offset register

commit 0ea2683862fedf27d23ee2ad23e119edc1bf610c
Author: Jason Abele <address@hidden>
Date:   Tue Nov 8 11:02:48 2011 -0800

    Clip WBX target freq before computing LO settings

commit 7749b0f0651733aa5962d5b577f483d37d125e24
Author: Josh Blum <address@hidden>
Date:   Tue Nov 8 09:32:40 2011 -0800

    uhd: useful tweaks from user

commit e219ad10a6e86cd4edc748f2218e01a9890e108c
Merge: 8ff8f20 11f1390
Author: Josh Blum <address@hidden>
Date:   Mon Nov 7 18:47:29 2011 -0800

    Merge branch 'uhd_next'

commit 11f1390bbde65c60f45962acb128cac1ce21e474
Merge: 902818f bcb80c5
Author: Josh Blum <address@hidden>
Date:   Mon Nov 7 17:09:07 2011 -0800

    Merge branch 'fpga_master' into uhd_next

commit 902818f50bbd486138a7d4cd2ce9ba3661f4a732
Author: Josh Blum <address@hidden>
Date:   Mon Nov 7 16:53:47 2011 -0800

    uhd: removed wax and props utils

commit 9d4350d74ea926999780ded0016c5ad51874ebec
Author: Jason Abele <address@hidden>
Date:   Mon Nov 7 15:28:16 2011 -0800

    Updated typos in XCVR2450 dboard property tree code

commit d28e3ac765273d7684386c1985802000d22eeea6
Author: Josh Blum <address@hidden>
Date:   Mon Nov 7 16:34:13 2011 -0800

    uhd: dont pass 0 sample buffs to converter (avoid segfaults)

commit f1434d7c52728cbf80e954b4c9414a94eec4c9ce
Author: Josh Blum <address@hidden>
Date:   Mon Nov 7 15:10:19 2011 -0800

    usrp2: fix channel mapping calculation

commit 7c503ad1fab5fd6218847b1d030881b4d048379f
Author: Josh Blum <address@hidden>
Date:   Mon Nov 7 14:34:40 2011 -0800

    uhd: modify examples to use new time/clock source API

commit f13e6effb973bcd35896f58af952f6192faae252
Author: Josh Blum <address@hidden>
Date:   Mon Nov 7 14:34:20 2011 -0800

    uhd: bumped version number for next branch work

commit 45d7e5105e393a7c62bb2df40521fccb834e0110
Author: Josh Blum <address@hidden>
Date:   Wed Oct 26 18:18:56 2011 -0700

    wbx: fixed typo because it should tx

commit 3c07f1d606296c0a6813a3a577e918926e43c478
Author: Josh Blum <address@hidden>
Date:   Wed Oct 26 18:04:55 2011 -0700

    wbx: bring WBX into the tree

commit 8e398397ed55ae4df014902352c66429fda2e2c0
Author: Josh Blum <address@hidden>
Date:   Wed Oct 26 13:15:44 2011 -0700

    sbx: bring SBX into the tree

commit aa0513375eb60a594f323b82d6f06c7b69c574fc
Author: Josh Blum <address@hidden>
Date:   Wed Oct 26 12:58:43 2011 -0700

    wbx: squashed Ben's WBX work

commit a0e9612f7a32c370f26d1b2db2eee7e692a8f8e9
Author: Josh Blum <address@hidden>
Date:   Wed Oct 26 13:00:30 2011 -0700

    sbx: squashed Ben's SBX work

commit 8a8dfe41f26ee276e0aded016a6e7bc8e7010a77
Author: Josh Blum <address@hidden>
Date:   Wed Oct 26 10:42:38 2011 -0700

    basic: disable dboard clocks by default

commit a445f30003c415843a779fd40e67eeb670ab5d29
Author: Jason Abele <address@hidden>
Date:   Thu Sep 22 13:44:26 2011 -0700

    Port of daughterboards from wax to new property trees
    
        Ported:
        Basic/LF
        Unknown
        XCVR
        DBSRX
        DBSRX2
        TVRX
        TVRX2

commit ef256d2f6c14006ba27eaf2ba1a7fba3a828420a
Author: Josh Blum <address@hidden>
Date:   Sat Jul 30 11:34:47 2011 -0700

    rfx: setup frontend property tree for rfx dboard

commit d9035414a27f484b89816e6e99c7f14d1769dee0
Author: Josh Blum <address@hidden>
Date:   Sat Jul 30 10:11:49 2011 -0700

    usrp: work on dboard code to use subtrees to populate frontend props

commit 8ff8f206d317e8d9c026fef9228a80edc241f9d4
Author: Josh Blum <address@hidden>
Date:   Sun Nov 6 14:55:51 2011 -0800

    uhd: fixed compilation error on tx waveforms

commit f3afd2eb94a65b4e6494c269ff67cd283dcad1de
Author: Josh Blum <address@hidden>
Date:   Sat Nov 5 11:44:37 2011 -0700

    uhd: performance speed up for tx waveforms, no iterative libmath per sample

commit bcb80c5cf9a2117f9d6d22b8e793ea2ecb68ed1f
Author: Josh Blum <address@hidden>
Date:   Sat Nov 5 18:12:32 2011 -0700

    remove unused irq to meet timing

commit 8bb81824ea3544352cf528cbd67efd04bde681c9
Author: Josh Blum <address@hidden>
Date:   Sat Nov 5 12:14:14 2011 -0700

    usrp: parse rx stream args scalar

commit 32464690523cbcdfca0c5d683d9f4a8044bd4104
Author: Josh Blum <address@hidden>
Date:   Sat Nov 5 11:58:01 2011 -0700

    convenience makefiles for top level projects

commit aab82ad7470a68503f44645ca18d5b4686c784e1
Author: Josh Blum <address@hidden>
Date:   Sat Nov 5 11:44:37 2011 -0700

    uhd: performance speed up for tx waveforms, no iterative libmath per sample

commit b33b611d0600437c89f27a93077b8966416b1e9f
Author: Josh Blum <address@hidden>
Date:   Fri Nov 4 23:24:42 2011 -0700

    increase vita rx fifosize to 10, like USRP2, make things work

commit ca02bf0358677043fb5d594a0f12413ba242c892
Author: Matt Ettus <address@hidden>
Date:   Fri Nov 4 14:45:32 2011 -0700

    dsp: remove dsp_buffer and replace with simpler add_routing_header,
      other funcs of dsp_buffer are done by double_buffer and dsp_engine

commit 9c598203327943b6ec042b031849ec6d7d907479
Author: Josh Blum <address@hidden>
Date:   Fri Nov 4 23:23:47 2011 +0000

    e100/b100: init tree before filling it
    
    git log

commit 8ae4113fb0666490bf7a0bfce89009012d88b96b
Author: Matt Ettus <address@hidden>
Date:   Fri Nov 4 12:47:54 2011 -0700

    dsp: remove warnings

commit 9fcc5fe50299dbc7583fb13bb5385685282a9976
Author: Matt Ettus <address@hidden>
Date:   Fri Nov 4 12:47:25 2011 -0700

    u1e: fix unattached nets from copy-paste error

commit 8252763cc855ba694a9dbb6646931c53d9c47ad8
Author: Matt Ettus <address@hidden>
Date:   Fri Nov 4 12:41:28 2011 -0700

    b100: fix warnings, complete removal of test code

commit 057bb60bd132a7347f5c45afdeec57d4fcd3f1a3
Author: Matt Ettus <address@hidden>
Date:   Fri Nov 4 12:03:06 2011 -0700

    b100: remove test features from GPIF to save space

commit 0e7c8c388a5dcbd70631526b42e08178300b93c4
Author: Josh Blum <address@hidden>
Date:   Thu Oct 27 12:32:06 2011 -0700

    e100/b100: moved gpio regs and compat readback

commit 69adaee902c5f409ebd7844667a0c253d7a06c6a
Author: Josh Blum <address@hidden>
Date:   Wed Oct 26 19:31:35 2011 -0700

    usrp2: reg map change for GPIO core

commit 07fb8d2b82c59ddaf7722b12db8c1387011fb34b
Author: Josh Blum <address@hidden>
Date:   Thu Nov 3 20:34:06 2011 -0700

    usrp: fix rate calculation logic

commit 5cdbf5b36969e12b93e720f67102dd4ab246b074
Author: Josh Blum <address@hidden>
Date:   Thu Nov 3 20:29:18 2011 -0700

    usrp: multi usrp API tweak

commit fbc5b54f49eec3f27e5a351d27260783898b0bea
Author: Josh Blum <address@hidden>
Date:   Wed Oct 26 19:23:24 2011 -0700

    somebody made a typo

commit 3a9edd27d6976456ea9cf4565590d4dd5bee809c
Author: Josh Blum <address@hidden>
Date:   Wed Oct 26 10:21:11 2011 -0700

    usrp: added called to query bw range as well

commit dedfa65256470f31a20c99a210457937d3f36056
Author: Josh Blum <address@hidden>
Date:   Tue Oct 25 19:26:11 2011 -0700

    usrp: reorganize frontend paths in tree for correction stuff

commit 7f815e124f217f37eb5e612a34ec84c33043aa87
Author: Josh Blum <address@hidden>
Date:   Tue Oct 25 18:04:32 2011 -0700

    usrp: placeholder for potential set_next_command_time call

commit 8e439ac16137c649b2a296967efe86d346f3968a
Author: Josh Blum <address@hidden>
Date:   Mon Oct 24 11:27:21 2011 -0700

    usrp: docs tweaks and renames to multi-usrp

commit a62645089202ac2ac39a55c48d3876474b54223e
Author: Josh Blum <address@hidden>
Date:   Mon Oct 24 10:36:41 2011 -0700

    usrp: prefer name iq_balance for api call

commit bd08f403e3936d9c35b04f8990605dd49310fbfd
Author: Josh Blum <address@hidden>
Date:   Sun Oct 23 18:04:18 2011 -0700

    usrp: register properties for correction and dc offset

commit 3d3c77983b917fefc7a3e59669a43e440ba4f688
Author: Josh Blum <address@hidden>
Date:   Sun Oct 23 18:03:56 2011 -0700

    usrp: update frontend cores for dc offset

commit c63a38e1f9b383663e5bb52a1ae35b54bbc0104e
Author: Josh Blum <address@hidden>
Date:   Sun Oct 23 18:02:43 2011 -0700

    usrp: add api call to adjust phase/mag imbalance

commit f11d64579a7c1421cc2b0f04c85f0c0e82b6a74e
Author: Josh Blum <address@hidden>
Date:   Fri Oct 21 16:38:45 2011 -0700

    usrp1: implement rx dc offset control hooks

commit 07ba94643ed2cfeed91542e3c1fc59cbdb39a932
Author: Josh Blum <address@hidden>
Date:   Fri Oct 21 16:38:27 2011 -0700

    usrp: add api control for tx/rx dc offset control

commit ae9e89d76b2eb86a29995f04aaab1aa59ee93f04
Author: Josh Blum <address@hidden>
Date:   Sun Oct 16 10:43:48 2011 -0700

    usrp: added get_tx/rx_rates

commit fe204a322ecbba4dd8b195987667651f0a1b7c80
Author: Josh Blum <address@hidden>
Date:   Fri Oct 14 09:58:40 2011 -0700

    uhd: added some stream docs/app notes

commit 55d074fdd1fdada732b9e1b477d2f43948243ee1
Author: Josh Blum <address@hidden>
Date:   Thu Oct 13 13:32:54 2011 -0700

    uhd: changed stream args args to device_addr_t

commit 929571756901c96a984bbb4e415478ac3deb1784
Author: Josh Blum <address@hidden>
Date:   Thu Oct 13 13:26:07 2011 -0700

    uhd: added converter for item32 as cpu type

commit 00b6d8359de6ea616f7bd6573d7f87d635d78a79
Author: Josh Blum <address@hidden>
Date:   Thu Oct 13 13:08:12 2011 -0700

    uhd: added trailer parsing for occupancy

commit 522bc87d9f61668f3d22e067c39a98e5f33fc6c5
Author: Josh Blum <address@hidden>
Date:   Wed Oct 12 20:05:57 2011 -0700

    uhd: fixed 8sc item32 converter on head/tail cases

commit 9369259177e5517e2b0e775804224c5467e14eab
Author: Josh Blum <address@hidden>
Date:   Wed Oct 12 09:59:41 2011 -0700

    usrp: deprecated clock config, added time/clock source calls

commit c885da11389ee275f9c5206b9f8a2155a5393a8a
Author: Josh Blum <address@hidden>
Date:   Wed Oct 12 00:39:04 2011 -0700

    uhd: renamed convert markup to format
    
    removed convert args
    
    added simd level
    
    got orc and neon updated

commit 9fc513c961775c9682123394fe5d42ef914bbbd6
Author: Josh Blum <address@hidden>
Date:   Tue Oct 11 17:46:44 2011 -0700

    e100: performed streamer API update to e100 impl

commit ba0323c616e032ebde12073521c754d93c65f821
Author: Josh Blum <address@hidden>
Date:   Tue Oct 11 14:54:02 2011 -0700

    b100: performed streamer API update to b100 impl

commit 5538048873f140f3ac2bbab12dc5b0729f68f1a5
Author: Josh Blum <address@hidden>
Date:   Tue Oct 11 12:12:25 2011 -0700

    usrp1: support variable clock rate through API

commit fce49fd66f577b92482af6ad516944befa31b861
Author: Josh Blum <address@hidden>
Date:   Tue Oct 11 10:29:33 2011 -0700

    uhd: added one packet mode to rx streamer

commit 0946176f513dd58407869e3b019207ff3eef24f5
Author: Josh Blum <address@hidden>
Date:   Mon Oct 10 20:55:01 2011 -0700

    usrp1: got the 16Msps working (needed non hb-filter image)

commit 861e66848f05001fcaca4fe91dbf67cd186649dc
Author: Josh Blum <address@hidden>
Date:   Fri Oct 7 17:30:42 2011 -0700

    usrp2: work on alternative OTW formats

commit 65fb4d225204b4ee2b7c73fc0ec393cfff9d6149
Author: Josh Blum <address@hidden>
Date:   Fri Oct 7 10:47:56 2011 -0700

    usrp1: various tweaks related to streaming

commit 4c4f0810ef06be18e989b6933ff236ff97c13dd0
Author: Josh Blum <address@hidden>
Date:   Thu Oct 6 18:41:59 2011 -0700

    usrp1: type conversions and 8-bit work

commit de17ef4614c3c14212f239e3c735bfde3f47a68f
Author: Josh Blum <address@hidden>
Date:   Thu Oct 6 13:38:29 2011 -0700

    usrp1: multi channel receive working

commit fac15db5d77c5196badb4a06f2f5fec34eb57337
Author: Josh Blum <address@hidden>
Date:   Thu Oct 6 09:25:54 2011 -0700

    uhd: renamed some of the stream types and functions

commit fa6d1380918e655a66cd209d7be3b0b31c61e907
Author: Josh Blum <address@hidden>
Date:   Wed Oct 5 17:39:21 2011 -0700

    uhd: device_deprecated.ipp typo fix

commit 371c555ed45aa14747a5701913bd5af97e970d83
Author: Josh Blum <address@hidden>
Date:   Wed Oct 5 16:11:35 2011 -0700

    uhd: restore io type header for swig backwards compat

commit e4561a18cd4bbcf8a20799704c42bfb4c2543dc2
Author: Josh Blum <address@hidden>
Date:   Wed Oct 5 14:41:57 2011 -0700

    usrp1: did work for stream interface on usrp1

commit a629bbe7e3c39a10bdc3a981f6badb85a436b443
Author: Josh Blum <address@hidden>
Date:   Wed Oct 5 11:59:27 2011 -0700

    uhd: updated examples to use new streamer interface

commit e89b20da1511f02d887435d093d8efef3e4df261
Author: Josh Blum <address@hidden>
Date:   Wed Oct 5 11:59:10 2011 -0700

    uhd: tweaks to streamer interface and multi-usrp convenience interface

commit fbe2aefe299a4d3ddf8cceb0eb5866136f48744d
Author: Josh Blum <address@hidden>
Date:   Wed Oct 5 11:54:36 2011 -0700

    usrp2: additional corrections for streaming to work

commit 66c95c12a1d2f7b79bdf5b9b871d1b957c56606f
Author: Josh Blum <address@hidden>
Date:   Tue Oct 4 18:08:47 2011 -0700

    uhd: lots of work releated to streamer work and usrp2 implementation

commit abed04b3c6d70c260d8725831b8aa6e944f52749
Author: Josh Blum <address@hidden>
Date:   Tue Oct 4 09:56:11 2011 -0700

    uhd: restored super packet handler functionality

commit 6c13ef7855dcfe9c825e819f69f219851387da25
Author: Josh Blum <address@hidden>
Date:   Mon Oct 3 18:11:21 2011 -0700

    uhd: created backwards compatible send/recv implementation

commit 839b9379d436adc1a6b0f876d0d03f11e41dbee6
Author: Josh Blum <address@hidden>
Date:   Mon Oct 3 17:07:45 2011 -0700

    convert: restored unit test functionality

commit 75b7967fac3ec72fb35c542da23491215d53a8bb
Author: Josh Blum <address@hidden>
Date:   Mon Oct 3 16:46:00 2011 -0700

    convert: reworked convert to use new identification standard

commit c480414fea7948dedd9428f545dfff90f4c8f2c8
Author: Josh Blum <address@hidden>
Date:   Mon Oct 3 13:54:35 2011 -0700

    uhd: work on streamer interface, lots of docstrings

commit 8c182d75e7adc20ff12cbc1065debd8dd4f2ef9d
Author: Josh Blum <address@hidden>
Date:   Mon Oct 3 11:35:16 2011 -0700

    uhd: removed unsed interfaces, deprecated otw and io type

commit bf5aba2dc1b32c8eb0d016e98f942fb7119fdfde
Author: Josh Blum <address@hidden>
Date:   Sun Oct 2 14:45:44 2011 -0700

    uhd: moved wax API into deprecated files

commit c086dd883e5c318dcdf8e654128abf671da90ec0
Author: Josh Blum <address@hidden>
Date:   Thu Nov 3 19:28:43 2011 -0700

    uhd: allow device addr (from string) to parse empty values

commit 5465620c629bfe3db742fe35b281a3776ddef86b
Author: Matt Ettus <address@hidden>
Date:   Thu Oct 27 10:52:46 2011 -0700

    u1e/u1p: GPIOs switched over to setting regs

commit c75d7049df389b99661030b7d0d50d5dceaa2b66
Author: Josh Blum <address@hidden>
Date:   Wed Oct 26 18:48:38 2011 -0700

    forgot to add gpio atr to makefile source list

commit 055e6f92a0efabc3159465ec00aa7e8cc29112c4
Author: Josh Blum <address@hidden>
Date:   Wed Oct 26 16:33:58 2011 -0700

    32 bit compat number for E and B series

commit 305bb01cf79c9102c47351f9e0c1135e589fc5c1
Author: Matt Ettus <address@hidden>
Date:   Wed Oct 26 16:03:54 2011 -0700

    u1e/u1p: removed led setting reg

commit 195cbeb81a7f53e92bb66053cd33aa1fe5c98157
Author: Matt Ettus <address@hidden>
Date:   Wed Oct 26 15:44:08 2011 -0700

    u1p/u1e: partially redone atr and gpio redo

commit 35b4a76effc67696aeadaf870ce446e3530a9151
Author: Matt Ettus <address@hidden>
Date:   Wed Oct 26 15:33:20 2011 -0700

    u2/u2p: use new setting_reg based gpios, gets it off of wb

commit 52c2287981f622a9e01aaed3028fd32d33b5be59
Author: Matt Ettus <address@hidden>
Date:   Wed Oct 26 15:10:57 2011 -0700

    u1e/u1p: remove unused UART

commit e1889d5e86b48156904b5f295644ed417064890f
Author: Matt Ettus <address@hidden>
Date:   Wed Oct 26 12:59:37 2011 -0700

    u2/u2p: move nearly all setting regs onto dsp_clk

commit 7980ee5c19330ec3d02a5c97294511c88ba263f8
Author: Matt Ettus <address@hidden>
Date:   Wed Oct 26 12:26:34 2011 -0700

    u2/u2p: remove dead comments and code

commit 59c4a2bfc2fc4d9f6f98628b28f4eff6151d6844
Author: Matt Ettus <address@hidden>
Date:   Thu Oct 13 17:20:17 2011 -0700

    dsp: make rounding a single bit work again

commit 6e2c70c914ad8d454ad575257ed16cbd8f59c052
Author: Matt Ettus <address@hidden>
Date:   Thu Oct 13 15:48:41 2011 -0700

    dsp: new rounding.  more complex, but better properties

commit 9ac4cb33c830c626311817fb43c210070f518d11
Author: Matt Ettus <address@hidden>
Date:   Wed Oct 12 16:11:12 2011 -0700

    dsp_engine: don't use SD rounding in 8 bit mode, so we can have a flat 
noise floor.

commit dd54bf0909025396986db2c045b0f1da2c4df657
Author: Matt Ettus <address@hidden>
Date:   Wed Oct 12 15:24:49 2011 -0700

    dsp_engine: trailer change to fit standard

commit 406345b14c745c0c3e7ac7c47ce6e893c61e357e
Author: Matt Ettus <address@hidden>
Date:   Wed Oct 12 15:20:48 2011 -0700

    dsp_engine fix rst -> reset, default to read address

commit 550e32bd1ef550a7b90e9aec4baa6a10fb247176
Author: Matt Ettus <address@hidden>
Date:   Fri Oct 7 12:36:54 2011 -0700

    dspengine: move the register to VITA_RX_CTRL + 9 instead of + 3 which is 
occupied

commit 80ec54d3f39536461c09c960c296e7c02c418310
Author: Matt Ettus <address@hidden>
Date:   Thu Oct 6 22:56:51 2011 -0700

    dspengine:  insert into the rx chain

commit f4c61186f6a81a09a038cef500d07d4ca5e65a57
Author: Matt Ettus <address@hidden>
Date:   Thu Oct 6 22:21:59 2011 -0700

    dsp_engine: new way of doing DSP operations on VITA packets.  Example does 
16 to 8 bit conversion

commit c215afef149acf35cca87d1a5053d2c48957652c
Author: Matt Ettus <address@hidden>
Date:   Fri Sep 30 13:58:04 2011 -0700

    dsp: ability to set rx dc offset to a fixed value

commit e21b2852a7d3eb46ba564aad3883934b7a8dd8e5
Author: Josh Blum <address@hidden>
Date:   Fri Sep 30 09:34:24 2011 -0700

    usrp2: fix typo in top level core files

-----------------------------------------------------------------------

Summary of changes:
 firmware/zpu/CMakeLists.txt                       |    1 +
 firmware/zpu/lwip/lwip-1.3.1/src/core/#tcp_out.c# |  981 ---------------------
 fpga/usrp2/control_lib/Makefile.srcs              |    3 +
 fpga/usrp2/control_lib/dbsm.v                     |  164 ++++
 fpga/usrp2/control_lib/double_buffer.v            |  139 +++
 fpga/usrp2/control_lib/double_buffer_tb.v         |  253 ++++++
 fpga/usrp2/control_lib/gpio_atr.v                 |   71 ++
 fpga/usrp2/fifo/Makefile.srcs                     |    1 +
 fpga/usrp2/fifo/add_routing_header.v              |   47 +
 fpga/usrp2/fifo/packet_router.v                   |    1 -
 fpga/usrp2/gpif/gpif.v                            |   92 +--
 fpga/usrp2/gpif/lint                              |    2 +
 fpga/usrp2/gpio/Makefile.tb                       |    2 +-
 fpga/usrp2/gpio/gpio_context_pkt.v                |    1 -
 fpga/usrp2/gpio/gpio_sender.v                     |    6 +-
 fpga/usrp2/gpio/gpio_sender_tb.v                  |    2 +-
 fpga/usrp2/sdr_lib/Makefile.srcs                  |    3 +
 fpga/usrp2/sdr_lib/clip_reg.v                     |   14 +-
 fpga/usrp2/sdr_lib/dsp_core_rx.v                  |    6 +-
 fpga/usrp2/sdr_lib/dspengine_16to8.v              |  221 +++++
 fpga/usrp2/sdr_lib/pipectrl.v                     |   66 ++
 fpga/usrp2/sdr_lib/pipestage.v                    |   45 +
 fpga/usrp2/sdr_lib/round.v                        |   30 +-
 fpga/usrp2/sdr_lib/round_sd.v                     |    5 +-
 fpga/usrp2/sdr_lib/round_tb.v                     |   61 ++
 fpga/usrp2/sdr_lib/rx_dcoffset.v                  |    3 +-
 fpga/usrp2/sdr_lib/rx_frontend.v                  |    4 +-
 fpga/usrp2/sdr_lib/small_hb_int.v                 |    4 +-
 fpga/usrp2/sdr_lib/tx_frontend.v                  |    4 +-
 fpga/usrp2/top/B100/Makefile                      |   14 +
 fpga/usrp2/top/B100/u1plus_core.v                 |  102 +--
 fpga/usrp2/top/E1x0/Makefile                      |   17 +
 fpga/usrp2/top/E1x0/u1e_core.v                    |   85 +--
 fpga/usrp2/top/N2x0/Makefile.N210R3               |    1 -
 fpga/usrp2/top/N2x0/u2plus_core.v                 |  116 +--
 fpga/usrp2/top/USRP2/u2_core.v                    |  125 +--
 fpga/usrp2/vrt/vita_rx_chain.v                    |   29 +-
 host/cmake/Modules/UHDVersion.cmake               |    4 +-
 host/docs/CMakeLists.txt                          |    2 +
 host/docs/calibration.rst                         |   61 ++
 host/docs/index.rst                               |    2 +
 host/docs/stream.rst                              |   59 ++
 host/docs/usrp1.rst                               |    6 +
 host/examples/benchmark_rate.cpp                  |   31 +-
 host/examples/latency_test.cpp                    |   17 +-
 host/examples/rx_ascii_art_dft.cpp                |   29 +-
 host/examples/rx_multi_samples.cpp                |   22 +-
 host/examples/rx_samples_to_file.cpp              |   71 +-
 host/examples/rx_samples_to_udp.cpp               |   31 +-
 host/examples/rx_timed_samples.cpp                |   12 +-
 host/examples/test_messages.cpp                   |   75 +-
 host/examples/tx_bursts.cpp                       |   14 +-
 host/examples/tx_samples_from_file.cpp            |   36 +-
 host/examples/tx_timed_samples.cpp                |   17 +-
 host/examples/tx_waveforms.cpp                    |   53 +-
 host/include/uhd/CMakeLists.txt                   |    4 +-
 host/include/uhd/convert.hpp                      |   99 ++-
 host/include/uhd/deprecated.hpp                   |   76 ++
 host/include/uhd/device.hpp                       |  123 +---
 host/include/uhd/device_deprecated.ipp            |  191 ++++
 host/include/uhd/stream.hpp                       |  206 +++++
 host/include/uhd/transport/vrt_if_packet.hpp      |    1 +
 host/include/uhd/types/clock_config.hpp           |    5 +-
 host/include/uhd/types/io_type.hpp                |    5 +-
 host/include/uhd/types/otw_type.hpp               |   71 +--
 host/include/uhd/usrp/CMakeLists.txt              |    2 -
 host/include/uhd/usrp/dboard_base.hpp             |   62 +--
 host/include/uhd/usrp/dboard_manager.hpp          |   24 +-
 host/include/uhd/usrp/mboard_iface.hpp            |   71 --
 host/include/uhd/usrp/multi_usrp.hpp              |  247 +++++-
 host/include/uhd/usrp/single_usrp.hpp             |   30 -
 host/include/uhd/utils/CMakeLists.txt             |    3 +-
 host/include/uhd/utils/csv.hpp                    |   35 +
 host/include/uhd/utils/paths.hpp                  |   34 +
 host/include/uhd/utils/props.hpp                  |   81 --
 host/include/uhd/wax.hpp                          |  169 ----
 host/lib/CMakeLists.txt                           |    2 +-
 host/lib/convert/CMakeLists.txt                   |   18 +-
 host/lib/convert/convert_common.hpp               |  142 +++-
 host/lib/convert/convert_fc32_with_sse2.cpp       |   24 +-
 host/lib/convert/convert_fc64_with_sse2.cpp       |   16 +-
 host/lib/convert/convert_impl.cpp                 |  130 ++-
 host/lib/convert/convert_with_neon.cpp            |    8 +-
 host/lib/convert/convert_with_orc.cpp             |   12 +-
 host/lib/convert/convert_with_tables.cpp          |  188 ++++
 host/lib/convert/gen_convert_general.py           |  135 +++-
 host/lib/convert/gen_convert_pred.py              |  185 ----
 host/lib/deprecated.cpp                           |   81 ++
 host/lib/ic_reg_maps/CMakeLists.txt               |    5 +
 host/lib/ic_reg_maps/gen_adf4350_regs.py          |    1 +
 host/lib/ic_reg_maps/gen_adf4351_regs.py          |  138 +++
 host/lib/transport/gen_vrt_if_packet.py           |   51 +-
 host/lib/transport/super_recv_packet_handler.hpp  |  155 ++--
 host/lib/transport/super_send_packet_handler.hpp  |  168 ++--
 host/lib/types/CMakeLists.txt                     |    1 -
 host/lib/types/clock_config.cpp                   |   44 -
 host/lib/types/types.cpp                          |   47 -
 host/lib/usrp/b100/b100_impl.cpp                  |   97 ++-
 host/lib/usrp/b100/b100_impl.hpp                  |   28 +-
 host/lib/usrp/b100/b100_regs.hpp                  |   42 +-
 host/lib/usrp/b100/dboard_iface.cpp               |   71 +--
 host/lib/usrp/b100/io_impl.cpp                    |  226 +++--
 host/lib/usrp/common/CMakeLists.txt               |    1 +
 host/lib/usrp/common/apply_corrections.cpp        |  217 +++++
 host/lib/usrp/common/apply_corrections.hpp        |   41 +
 host/lib/usrp/cores/gpio_core_200.cpp             |   12 +-
 host/lib/usrp/cores/gpio_core_200.hpp             |    2 +-
 host/lib/usrp/cores/rx_dsp_core_200.cpp           |   53 +-
 host/lib/usrp/cores/rx_dsp_core_200.hpp           |    5 +
 host/lib/usrp/cores/rx_frontend_core_200.cpp      |   30 +-
 host/lib/usrp/cores/rx_frontend_core_200.hpp      |    6 +-
 host/lib/usrp/cores/tx_dsp_core_200.cpp           |   32 +-
 host/lib/usrp/cores/tx_dsp_core_200.hpp           |    4 +
 host/lib/usrp/cores/tx_frontend_core_200.cpp      |   18 +-
 host/lib/usrp/cores/tx_frontend_core_200.hpp      |    4 +-
 host/lib/usrp/dboard/CMakeLists.txt               |    7 +-
 host/lib/usrp/dboard/db_basic_and_lf.cpp          |  285 ++-----
 host/lib/usrp/dboard/db_dbsrx.cpp                 |  202 ++---
 host/lib/usrp/dboard/db_dbsrx2.cpp                |  194 ++---
 host/lib/usrp/dboard/db_rfx.cpp                   |  350 ++------
 host/lib/usrp/dboard/db_sbx.cpp                   |  785 -----------------
 host/lib/usrp/dboard/db_sbx_common.cpp            |  360 ++++++++
 host/lib/usrp/dboard/db_sbx_common.hpp            |  227 +++++
 host/lib/usrp/dboard/db_sbx_version3.cpp          |  186 ++++
 host/lib/usrp/dboard/db_sbx_version4.cpp          |  189 ++++
 host/lib/usrp/dboard/db_tvrx.cpp                  |  175 +---
 host/lib/usrp/dboard/db_tvrx2.cpp                 |  309 +++----
 host/lib/usrp/dboard/db_unknown.cpp               |  246 ++----
 host/lib/usrp/dboard/db_wbx_common.cpp            |  648 ++------------
 host/lib/usrp/dboard/db_wbx_common.hpp            |  166 ++++-
 host/lib/usrp/dboard/db_wbx_simple.cpp            |  192 +---
 host/lib/usrp/dboard/db_wbx_version2.cpp          |  329 +++++++
 host/lib/usrp/dboard/db_wbx_version3.cpp          |  336 +++++++
 host/lib/usrp/dboard/db_wbx_version4.cpp          |  339 +++++++
 host/lib/usrp/dboard/db_xcvr2450.cpp              |  399 +++------
 host/lib/usrp/dboard_base.cpp                     |   41 +-
 host/lib/usrp/dboard_ctor_args.hpp                |    2 +
 host/lib/usrp/dboard_manager.cpp                  |  273 +-----
 host/lib/usrp/e100/dboard_iface.cpp               |   71 +--
 host/lib/usrp/e100/e100_impl.cpp                  |  109 ++-
 host/lib/usrp/e100/e100_impl.hpp                  |   23 +-
 host/lib/usrp/e100/e100_regs.hpp                  |   42 +-
 host/lib/usrp/e100/io_impl.cpp                    |  245 ++++--
 host/lib/usrp/gps_ctrl.cpp                        |    3 +-
 host/lib/usrp/multi_usrp.cpp                      |  174 +++-
 host/lib/usrp/usrp1/dboard_iface.cpp              |   26 +-
 host/lib/usrp/usrp1/io_impl.cpp                   |  375 ++++++---
 host/lib/usrp/usrp1/soft_time_ctrl.cpp            |    7 +-
 host/lib/usrp/usrp1/soft_time_ctrl.hpp            |    2 +-
 host/lib/usrp/usrp1/usrp1_calc_mux.hpp            |    4 +-
 host/lib/usrp/usrp1/usrp1_impl.cpp                |  126 ++--
 host/lib/usrp/usrp1/usrp1_impl.hpp                |   41 +-
 host/lib/usrp/usrp2/dboard_iface.cpp              |    2 +-
 host/lib/usrp/usrp2/fw_common.h                   |    2 +-
 host/lib/usrp/usrp2/io_impl.cpp                   |  295 ++++---
 host/lib/usrp/usrp2/usrp2_iface.cpp               |    2 +-
 host/lib/usrp/usrp2/usrp2_impl.cpp                |   83 ++-
 host/lib/usrp/usrp2/usrp2_impl.hpp                |   31 +-
 host/lib/usrp/usrp2/usrp2_regs.hpp                |    2 +
 host/lib/utils/CMakeLists.txt                     |    2 +-
 host/lib/utils/csv.cpp                            |   52 ++
 host/lib/utils/paths.cpp                          |   27 +
 host/lib/utils/props.cpp                          |   40 -
 host/lib/wax.cpp                                  |  151 ----
 host/tests/CMakeLists.txt                         |    1 -
 host/tests/convert_test.cpp                       |  160 ++--
 host/tests/ranges_test.cpp                        |   13 +
 host/tests/sph_recv_test.cpp                      |  165 ++--
 host/tests/sph_send_test.cpp                      |   44 +-
 host/tests/wax_test.cpp                           |  104 ---
 host/usrp_e_utils/CMakeLists.txt                  |    2 -
 host/usrp_e_utils/usrp-e-debug-pins.c             |   78 --
 host/usrp_e_utils/usrp-e-gpio.c                   |   83 --
 host/utils/CMakeLists.txt                         |    3 +
 host/utils/uhd_cal_rx_iq_balance.cpp              |  240 +++++
 host/utils/uhd_cal_tx_dc_offset.cpp               |  238 +++++
 host/utils/uhd_cal_tx_iq_balance.cpp              |  243 +++++
 host/utils/usrp_cal_utils.hpp                     |  217 +++++
 178 files changed, 9253 insertions(+), 7757 deletions(-)
 delete mode 100644 firmware/zpu/lwip/lwip-1.3.1/src/core/#tcp_out.c#
 create mode 100644 fpga/usrp2/control_lib/dbsm.v
 create mode 100644 fpga/usrp2/control_lib/double_buffer.v
 create mode 100644 fpga/usrp2/control_lib/double_buffer_tb.v
 create mode 100644 fpga/usrp2/control_lib/gpio_atr.v
 create mode 100644 fpga/usrp2/fifo/add_routing_header.v
 create mode 100755 fpga/usrp2/gpif/lint
 create mode 100644 fpga/usrp2/sdr_lib/dspengine_16to8.v
 create mode 100644 fpga/usrp2/sdr_lib/pipectrl.v
 create mode 100644 fpga/usrp2/sdr_lib/pipestage.v
 create mode 100644 fpga/usrp2/sdr_lib/round_tb.v
 create mode 100644 fpga/usrp2/top/B100/Makefile
 create mode 100644 fpga/usrp2/top/E1x0/Makefile
 create mode 100644 host/docs/calibration.rst
 create mode 100644 host/docs/stream.rst
 create mode 100644 host/include/uhd/deprecated.hpp
 create mode 100644 host/include/uhd/device_deprecated.ipp
 create mode 100644 host/include/uhd/stream.hpp
 delete mode 100644 host/include/uhd/usrp/mboard_iface.hpp
 delete mode 100644 host/include/uhd/usrp/single_usrp.hpp
 create mode 100644 host/include/uhd/utils/csv.hpp
 create mode 100644 host/include/uhd/utils/paths.hpp
 delete mode 100644 host/include/uhd/utils/props.hpp
 delete mode 100644 host/include/uhd/wax.hpp
 create mode 100644 host/lib/convert/convert_with_tables.cpp
 delete mode 100644 host/lib/convert/gen_convert_pred.py
 create mode 100644 host/lib/deprecated.cpp
 create mode 100755 host/lib/ic_reg_maps/gen_adf4351_regs.py
 delete mode 100644 host/lib/types/clock_config.cpp
 create mode 100644 host/lib/usrp/common/apply_corrections.cpp
 create mode 100644 host/lib/usrp/common/apply_corrections.hpp
 delete mode 100644 host/lib/usrp/dboard/db_sbx.cpp
 create mode 100644 host/lib/usrp/dboard/db_sbx_common.cpp
 create mode 100644 host/lib/usrp/dboard/db_sbx_common.hpp
 create mode 100644 host/lib/usrp/dboard/db_sbx_version3.cpp
 create mode 100644 host/lib/usrp/dboard/db_sbx_version4.cpp
 create mode 100644 host/lib/usrp/dboard/db_wbx_version2.cpp
 create mode 100644 host/lib/usrp/dboard/db_wbx_version3.cpp
 create mode 100644 host/lib/usrp/dboard/db_wbx_version4.cpp
 create mode 100644 host/lib/utils/csv.cpp
 delete mode 100644 host/lib/utils/props.cpp
 delete mode 100644 host/lib/wax.cpp
 delete mode 100644 host/tests/wax_test.cpp
 delete mode 100644 host/usrp_e_utils/usrp-e-debug-pins.c
 delete mode 100644 host/usrp_e_utils/usrp-e-gpio.c
 create mode 100644 host/utils/uhd_cal_rx_iq_balance.cpp
 create mode 100644 host/utils/uhd_cal_tx_dc_offset.cpp
 create mode 100644 host/utils/uhd_cal_tx_iq_balance.cpp
 create mode 100644 host/utils/usrp_cal_utils.hpp


hooks/post-receive
-- 
git://gnuradio.org/jcorgan-uhd



reply via email to

[Prev in Thread] Current Thread [Next in Thread]