guix-devel
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[PATCH 0/3] Add xdot and update yosys


From: Theodoros Foradis
Subject: [PATCH 0/3] Add xdot and update yosys
Date: Sat, 26 Nov 2016 16:27:55 +0200

Hello guix,

This patch adds xdot, a viewer for graphviz graphs, and adds support for
it in yosys(it was a TODO item).

Functionality can be tested following the examples in this webpage:
http://www.clifford.at/yosys/screenshots.html
The diagrams at each step, can be previewed with xdot, issuing the
command 'show counter'.

Also, yosys is updated to the latest release, 0.7.

Regards,
-- 
Theodoros Foradis



reply via email to

[Prev in Thread] Current Thread [Next in Thread]