libtool-patches
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

FYI: libltdl configure messages [294]


From: Gary V. Vaughan
Subject: FYI: libltdl configure messages [294]
Date: Fri, 14 Oct 2005 16:43:44 +0100 (BST)
User-agent: mailnotify/0.7

-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1

Applied to HEAD.

  * looking for address@hidden/libtool--devo--1.0--patch-328 to compare with
  * comparing to address@hidden/libtool--devo--1.0--patch-328
  M  libltdl/m4/ltdl.m4
  M  ChangeLog
  
  * modified files
  
  Index: Changelog
  from  Gary V. Vaughan  <address@hidden>
        * libltdl/m4/ltdl.m4 (LT_WITH_LTDL): Don't nest AC_MSG_CHECKING/
        AC_MSG_RESULT pairs.
  
  2005-10-14  Gary V. Vaughan  <address@hidden>
  
  --- orig/libltdl/m4/ltdl.m4
  +++ mod/libltdl/m4/ltdl.m4
  @@ -158,7 +158,6 @@
   if test "x$with_included_ltdl" != xyes; then
     # We are not being forced to use the included libltdl sources, so
     # decide whether there is a useful installed version we can use.
  -  AC_MSG_CHECKING([for lt_dlinterface_register in ltdl.h])
     lt_dlinterface_register_found=no
     AC_CHECK_HEADER([ltdl.h],
         [AC_CHECK_LIB([ltdl], [lt_dlinterface_register],
  @@ -168,6 +167,7 @@
         [],
         [AC_INCLUDES_DEFAULT]
     )
  +  AC_MSG_CHECKING([for lt_dlinterface_register in ltdl.h])
     test "x$with_included_ltdl" = xno && lt_dlinterface_register_found=yes
     AC_MSG_RESULT([$lt_dlinterface_register_found])
   fi
  
  
  
- -- 
Gary V. Vaughan      ())_.  address@hidden,gnu.org}
Research Scientist   ( '/   http://tkd.kicks-ass.net
GNU Hacker           / )=   http://www.gnu.org/software/libtool
Technical Author   `(_~)_   http://sources.redhat.com/autobook
_________________________________________________________
This patch notification generated by tlaapply version 1.0
http://tkd.kicks-ass.net/arch/address@hidden/cvs-utils--tla--1.0
-----BEGIN PGP SIGNATURE-----
Version: GnuPG v1.4.1 (Darwin)

iD8DBQFDT9IuFRMICSmD1gYRAqnwAKDLqd+TzaJoLjyqN1+k4JU2Gp/ZpwCePP2c
EJdHPXv+xlE6U0n92F6IEc0=
=/Bdl
-----END PGP SIGNATURE-----




reply via email to

[Prev in Thread] Current Thread [Next in Thread]