tinycc-devel
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [Tinycc-devel] Lexer conditionally doesn't require "case" in "switch


From: Steffen Nurpmeso
Subject: Re: [Tinycc-devel] Lexer conditionally doesn't require "case" in "switch"
Date: Wed, 09 Nov 2016 18:59:59 +0100
User-agent: s-nail v14.9.0-pre2-9-gebb59f7

Michael Matz <address@hidden> wrote:
 |On Tue, 8 Nov 2016, Steffen Nurpmeso wrote:
 ... [one of these days where i am forgiving with myself..]

 |The file being:
 |
 |enum{ a_0, a_1, a255 };
 |int
 |main(int argc, char **argv){
 |        switch(argc){
 |        a_0: return 0;
 |        a_1: return 1;
 |        a_255: return 255;
 |}
 |}
 |
 |Why should it fail?  This is valid (albeit silly) code with three dead 

Yes.  Where was my head?
Sorry.

--steffen



reply via email to

[Prev in Thread] Current Thread [Next in Thread]