From MAILER-DAEMON Tue Mar 23 13:50:45 2010 Received: from mailman by lists.gnu.org with archive (Exim 4.43) id 1Nu8FZ-0003hj-3s for mharc-discuss-gnu-electric@gnu.org; Tue, 23 Mar 2010 13:50:45 -0400 Received: from mailman by lists.gnu.org with tmda-scanned (Exim 4.43) id 1Nu8FW-0003fA-Hy for discuss-gnu-electric@gnu.org; Tue, 23 Mar 2010 13:50:42 -0400 Received: from [140.186.70.92] (port=36952 helo=eggs.gnu.org) by lists.gnu.org with esmtp (Exim 4.43) id 1Nu8FU-0003d5-OM for discuss-gnu-electric@gnu.org; Tue, 23 Mar 2010 13:50:41 -0400 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.69) (envelope-from ) id 1Nu8FT-0000tZ-MA for discuss-gnu-electric@gnu.org; Tue, 23 Mar 2010 13:50:40 -0400 Received: from mail.teleinfom.teiep.gr ([195.130.72.179]:3296) by eggs.gnu.org with esmtp (Exim 4.69) (envelope-from ) id 1Nu8FT-0000sl-EV for discuss-gnu-electric@gnu.org; Tue, 23 Mar 2010 13:50:39 -0400 Received: from [192.168.111.62] by mail.teleinfom.teiep.gr (DCIM Mail Server ) with ASMTP id BKF74578 for ; Tue, 23 Mar 2010 18:58:10 +0200 From: "X.Koliopanos" To: discuss-gnu-electric@gnu.org Content-Type: text/plain; charset="UTF-8" Date: Tue, 23 Mar 2010 18:58:06 +0200 Message-ID: <1269363486.2692.5.camel@dias-desktop> Mime-Version: 1.0 X-Mailer: Evolution 2.28.1 Content-Transfer-Encoding: 7bit X-detected-operating-system: by eggs.gnu.org: Windows 2000 SP4, XP SP1+ Subject: VHDL and Electric X-BeenThere: discuss-gnu-electric@gnu.org X-Mailman-Version: 2.1.5 Precedence: list List-Id: Discussion list for the GNU Electric CAD system List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Tue, 23 Mar 2010 17:50:42 -0000 Hi to everybody. I am new in Electric. I am try to convert a simple vhdl code to a netlist. For example, this one of an or gate: library ieee; use ieee.std_logic_1164.all; entity OR_ent is port( x: in std_logic; y: in std_logic; F: out std_logic ); end OR_ent; architecture OR_arch of OR_ent is begin process(x, y) begin if ((x='0') and (y='0')) then F <= '0'; else F <= '1'; end if; end process; end OR_arch; architecture OR_beh of OR_ent is begin F <= x or y; end OR_beh; So i made a vhdl cell and i put the code in it. After i try to use Silicon Compiler from Tools but all my code its full of errors. TOO MANY ERRORS...PRINTING NO MORE ERRORS during compilation, no netlist produced Can someone help me. Thanks Chris From MAILER-DAEMON Tue Mar 23 14:07:10 2010 Received: from mailman by lists.gnu.org with archive (Exim 4.43) id 1Nu8VS-00044y-6a for mharc-discuss-gnu-electric@gnu.org; Tue, 23 Mar 2010 14:07:10 -0400 Received: from mailman by lists.gnu.org with tmda-scanned (Exim 4.43) id 1Nu8VQ-00044H-2N for discuss-gnu-electric@gnu.org; Tue, 23 Mar 2010 14:07:08 -0400 Received: from [140.186.70.92] (port=37314 helo=eggs.gnu.org) by lists.gnu.org with esmtp (Exim 4.43) id 1Nu8VO-00043x-Ec for discuss-gnu-electric@gnu.org; Tue, 23 Mar 2010 14:07:07 -0400 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.69) (envelope-from ) id 1Nu8VN-0004EO-1p for discuss-gnu-electric@gnu.org; Tue, 23 Mar 2010 14:07:06 -0400 Received: from smtp108.sbc.mail.gq1.yahoo.com ([67.195.14.111]:22039) by eggs.gnu.org with smtp (Exim 4.69) (envelope-from ) id 1Nu8VM-0004Dm-P8 for discuss-gnu-electric@gnu.org; Tue, 23 Mar 2010 14:07:05 -0400 Received: (qmail 2006 invoked from network); 23 Mar 2010 18:07:02 -0000 Received: from adsl-71-131-0-130.dsl.sntc01.pacbell.net (strubin@71.131.0.130 with login) by smtp108.sbc.mail.gq1.yahoo.com with SMTP; 23 Mar 2010 11:07:01 -0700 PDT X-Yahoo-SMTP: lMAkJTaswBDsAY8JVtoIhfCWNpMCv_OEkiWthyUJlujY55s- X-YMail-OSG: oyNU__cVM1mn4V3b31gxHLNegQSqAjGsMqf_3tg3UtOTdqgdKok7KXzQ1t_FQVySBu3k8G0P6fNMm5G9oMrvZL4UIMwoNMKMaSaNwI_u6kiCF7cJstE4_9Rus6ca5wtw0CJDNQ4EkmMazFY5EPmCr25MVPjyHvGzZ_g6ATvLgruFYFzTgoEUnkvuc1eSyf.kWSzqVbvVCE32qDUsdbF65qs3GxQkLL8jQ0iUJccOisCjn.9rkNDJvvBcdmo4nzH2 X-Yahoo-Newman-Property: ymail-3 Message-Id: <6.2.3.4.2.20100323110606.04044b08@mail.rulabinsky.com> X-Mailer: QUALCOMM Windows Eudora Version 6.2.3.4 Date: Tue, 23 Mar 2010 11:07:22 -0700 To: "X.Koliopanos" From: Steven Rubin In-Reply-To: <1269363486.2692.5.camel@dias-desktop> References: <1269363486.2692.5.camel@dias-desktop> Mime-Version: 1.0 Content-Type: text/plain; charset="us-ascii"; format=flowed X-detected-operating-system: by eggs.gnu.org: FreeBSD 6.x (1) Cc: discuss-gnu-electric@gnu.org Subject: Re: VHDL and Electric X-BeenThere: discuss-gnu-electric@gnu.org X-Mailman-Version: 2.1.5 Precedence: list List-Id: Discussion list for the GNU Electric CAD system List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Tue, 23 Mar 2010 18:07:08 -0000 At 09:58 AM 3/23/2010, you wrote: >Hi to everybody. > >I am new in Electric. I am try to convert a simple vhdl code to a >netlist. For example, this one of an or gate: > > >library ieee; >use ieee.std_logic_1164.all; The Electric VHDL compiler is old and handles only structural VHDL, not behavioral. I don't believe that it can handle the "use ieee.std_logic_1164.all;" statement. Also, the more active Electric mailing list is the "Electricvlsi" list at Google. -Steven Rubin