simulavr-devel
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [Simulavr-devel] need help to fix some issues in build toolchain


From: Thomas K
Subject: Re: [Simulavr-devel] need help to fix some issues in build toolchain
Date: Sun, 1 Nov 2015 17:14:16 +0100
User-agent: Mozilla/5.0 (X11; Linux i686; rv:38.0) Gecko/20100101 Thunderbird/38.2.0

Hi Klaus,

I have some outstanding changes and - hopefully - time to implement a version check for verilog in configure script.

But I need some help for this:

You wrote, that this works on verilog v10 or higher. I had a look in my box and this showed for iverilog command version 0.9.7. (ubuntu 14.04)

So the first question is: what's the output of "iverilog -V | head -1" (what's the version string) (same for vpp command, but this should normaly be the same)

What's your distribution? You wrote, that you installed the newer version in an other place. Is this just for testing purpose? Background for this is, how to detect the right installation with header and libs. Maybe I have to implement the possibility to assign a special path for detection in configure script.

cu, Thomas



reply via email to

[Prev in Thread] Current Thread [Next in Thread]